Missing pin: hm2_7i80.0.7i77.0.7.analogout0-scalemax halcmd: show pin Component Pins: Owner Type Dir Value Name 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-00 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-00-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-01 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-01-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-02 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-02-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-03 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-03-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-04 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-04-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-05 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-05-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-06 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-06-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-07 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-07-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-08 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-08-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-09 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-09-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-10 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-10-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-11 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-11-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-12 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-12-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-13 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-13-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-14 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-14-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-15 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-15-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-16 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-16-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-17 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-17-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-18 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-18-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-19 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-19-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-20 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-20-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-21 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-21-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-22 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-22-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-23 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-23-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-24 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-24-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-25 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-25-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-26 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-26-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-27 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-27-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-28 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-28-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-29 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-29-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-30 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-30-not 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-31 9 bit OUT FALSE hm2_7i80.0.7i77.0.6.input-31-not 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-00 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-01 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-02 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-03 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-04 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-05 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-06 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-07 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-08 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-09 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-10 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-11 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-12 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-13 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-14 9 bit IN FALSE hm2_7i80.0.7i77.0.6.output-15 9 float IN 100 hm2_7i80.0.dpll.01.timer-us 9 float IN 100 hm2_7i80.0.dpll.02.timer-us 9 float IN 100 hm2_7i80.0.dpll.03.timer-us 9 float IN 100 hm2_7i80.0.dpll.04.timer-us 9 float IN -1 hm2_7i80.0.dpll.base-freq-khz 9 u32 OUT 0x00000000 hm2_7i80.0.dpll.ddsize 9 float OUT 0 hm2_7i80.0.dpll.phase-error-us 9 u32 IN 0x00400000 hm2_7i80.0.dpll.plimit 9 u32 OUT 0x00000001 hm2_7i80.0.dpll.prescale 9 u32 IN 0x000007D0 hm2_7i80.0.dpll.time-const 9 s32 OUT 0 hm2_7i80.0.encoder.00.count 9 s32 OUT 0 hm2_7i80.0.encoder.00.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.00.index-enable 9 bit OUT TRUE hm2_7i80.0.encoder.00.input-a 9 bit OUT TRUE hm2_7i80.0.encoder.00.input-b 9 bit OUT FALSE hm2_7i80.0.encoder.00.input-index 9 bit IN FALSE hm2_7i80.0.encoder.00.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.00.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.00.position 9 float OUT 0 hm2_7i80.0.encoder.00.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.00.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.00.quad-error-enable 9 s32 OUT 0 hm2_7i80.0.encoder.00.rawcounts 9 s32 OUT 0 hm2_7i80.0.encoder.00.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.00.reset 9 float OUT 0 hm2_7i80.0.encoder.00.velocity 9 s32 OUT 0 hm2_7i80.0.encoder.01.count 9 s32 OUT 0 hm2_7i80.0.encoder.01.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.01.index-enable 9 bit OUT FALSE hm2_7i80.0.encoder.01.input-a 9 bit OUT TRUE hm2_7i80.0.encoder.01.input-b 9 bit OUT FALSE hm2_7i80.0.encoder.01.input-index 9 bit IN FALSE hm2_7i80.0.encoder.01.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.01.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.01.position 9 float OUT 0 hm2_7i80.0.encoder.01.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.01.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.01.quad-error-enable 9 s32 OUT 1 hm2_7i80.0.encoder.01.rawcounts 9 s32 OUT 1 hm2_7i80.0.encoder.01.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.01.reset 9 float OUT 0 hm2_7i80.0.encoder.01.velocity 9 s32 OUT 0 hm2_7i80.0.encoder.02.count 9 s32 OUT 0 hm2_7i80.0.encoder.02.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.02.index-enable 9 bit OUT FALSE hm2_7i80.0.encoder.02.input-a 9 bit OUT FALSE hm2_7i80.0.encoder.02.input-b 9 bit OUT FALSE hm2_7i80.0.encoder.02.input-index 9 bit IN FALSE hm2_7i80.0.encoder.02.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.02.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.02.position 9 float OUT 0 hm2_7i80.0.encoder.02.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.02.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.02.quad-error-enable 9 s32 OUT 0 hm2_7i80.0.encoder.02.rawcounts 9 s32 OUT 0 hm2_7i80.0.encoder.02.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.02.reset 9 float OUT 0 hm2_7i80.0.encoder.02.velocity 9 s32 OUT 0 hm2_7i80.0.encoder.03.count 9 s32 OUT 0 hm2_7i80.0.encoder.03.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.03.index-enable 9 bit OUT FALSE hm2_7i80.0.encoder.03.input-a 9 bit OUT FALSE hm2_7i80.0.encoder.03.input-b 9 bit OUT TRUE hm2_7i80.0.encoder.03.input-index 9 bit IN FALSE hm2_7i80.0.encoder.03.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.03.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.03.position 9 float OUT 0 hm2_7i80.0.encoder.03.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.03.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.03.quad-error-enable 9 s32 OUT 0 hm2_7i80.0.encoder.03.rawcounts 9 s32 OUT 0 hm2_7i80.0.encoder.03.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.03.reset 9 float OUT 0 hm2_7i80.0.encoder.03.velocity 9 s32 OUT 0 hm2_7i80.0.encoder.04.count 9 s32 OUT 0 hm2_7i80.0.encoder.04.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.04.index-enable 9 bit OUT FALSE hm2_7i80.0.encoder.04.input-a 9 bit OUT FALSE hm2_7i80.0.encoder.04.input-b 9 bit OUT TRUE hm2_7i80.0.encoder.04.input-index 9 bit IN FALSE hm2_7i80.0.encoder.04.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.04.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.04.position 9 float OUT 0 hm2_7i80.0.encoder.04.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.04.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.04.quad-error-enable 9 s32 OUT 4 hm2_7i80.0.encoder.04.rawcounts 9 s32 OUT 4 hm2_7i80.0.encoder.04.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.04.reset 9 float OUT 0 hm2_7i80.0.encoder.04.velocity 9 s32 OUT 0 hm2_7i80.0.encoder.05.count 9 s32 OUT 0 hm2_7i80.0.encoder.05.count-latched 9 bit I/O FALSE hm2_7i80.0.encoder.05.index-enable 9 bit OUT TRUE hm2_7i80.0.encoder.05.input-a 9 bit OUT TRUE hm2_7i80.0.encoder.05.input-b 9 bit OUT TRUE hm2_7i80.0.encoder.05.input-index 9 bit IN FALSE hm2_7i80.0.encoder.05.latch-enable 9 bit IN FALSE hm2_7i80.0.encoder.05.latch-polarity 9 float OUT 0 hm2_7i80.0.encoder.05.position 9 float OUT 0 hm2_7i80.0.encoder.05.position-latched 9 bit OUT FALSE hm2_7i80.0.encoder.05.quad-error 9 bit IN FALSE hm2_7i80.0.encoder.05.quad-error-enable 9 s32 OUT 4 hm2_7i80.0.encoder.05.rawcounts 9 s32 OUT 4 hm2_7i80.0.encoder.05.rawlatch 9 bit IN FALSE hm2_7i80.0.encoder.05.reset 9 float OUT 0 hm2_7i80.0.encoder.05.velocity 9 u32 IN 0x007F2815 hm2_7i80.0.encoder.muxed-sample-frequency 9 s32 IN -1 hm2_7i80.0.encoder.timer-number 9 bit OUT FALSE hm2_7i80.0.gpio.000.in 9 bit OUT TRUE hm2_7i80.0.gpio.000.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.001.in 9 bit OUT TRUE hm2_7i80.0.gpio.001.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.002.in 9 bit OUT FALSE hm2_7i80.0.gpio.002.in_not 9 bit IN FALSE hm2_7i80.0.gpio.002.out 9 bit OUT TRUE hm2_7i80.0.gpio.003.in 9 bit OUT FALSE hm2_7i80.0.gpio.003.in_not 9 bit IN FALSE hm2_7i80.0.gpio.003.out 9 bit OUT TRUE hm2_7i80.0.gpio.004.in 9 bit OUT FALSE hm2_7i80.0.gpio.004.in_not 9 bit IN FALSE hm2_7i80.0.gpio.004.out 9 bit OUT TRUE hm2_7i80.0.gpio.005.in 9 bit OUT FALSE hm2_7i80.0.gpio.005.in_not 9 bit IN FALSE hm2_7i80.0.gpio.005.out 9 bit OUT TRUE hm2_7i80.0.gpio.006.in 9 bit OUT FALSE hm2_7i80.0.gpio.006.in_not 9 bit IN FALSE hm2_7i80.0.gpio.006.out 9 bit OUT TRUE hm2_7i80.0.gpio.007.in 9 bit OUT FALSE hm2_7i80.0.gpio.007.in_not 9 bit IN FALSE hm2_7i80.0.gpio.007.out 9 bit OUT TRUE hm2_7i80.0.gpio.008.in 9 bit OUT FALSE hm2_7i80.0.gpio.008.in_not 9 bit IN FALSE hm2_7i80.0.gpio.008.out 9 bit OUT TRUE hm2_7i80.0.gpio.009.in 9 bit OUT FALSE hm2_7i80.0.gpio.009.in_not 9 bit IN FALSE hm2_7i80.0.gpio.009.out 9 bit OUT TRUE hm2_7i80.0.gpio.010.in 9 bit OUT FALSE hm2_7i80.0.gpio.010.in_not 9 bit IN FALSE hm2_7i80.0.gpio.010.out 9 bit OUT TRUE hm2_7i80.0.gpio.011.in 9 bit OUT FALSE hm2_7i80.0.gpio.011.in_not 9 bit IN FALSE hm2_7i80.0.gpio.011.out 9 bit OUT TRUE hm2_7i80.0.gpio.012.in 9 bit OUT FALSE hm2_7i80.0.gpio.012.in_not 9 bit IN FALSE hm2_7i80.0.gpio.012.out 9 bit OUT TRUE hm2_7i80.0.gpio.013.in 9 bit OUT FALSE hm2_7i80.0.gpio.013.in_not 9 bit IN FALSE hm2_7i80.0.gpio.013.out 9 bit OUT TRUE hm2_7i80.0.gpio.014.in 9 bit OUT FALSE hm2_7i80.0.gpio.014.in_not 9 bit IN FALSE hm2_7i80.0.gpio.014.out 9 bit OUT TRUE hm2_7i80.0.gpio.015.in 9 bit OUT FALSE hm2_7i80.0.gpio.015.in_not 9 bit IN FALSE hm2_7i80.0.gpio.015.out 9 bit OUT TRUE hm2_7i80.0.gpio.016.in 9 bit OUT FALSE hm2_7i80.0.gpio.016.in_not 9 bit IN FALSE hm2_7i80.0.gpio.016.out 9 bit OUT TRUE hm2_7i80.0.gpio.017.in 9 bit OUT FALSE hm2_7i80.0.gpio.017.in_not 9 bit IN FALSE hm2_7i80.0.gpio.017.out 9 bit OUT TRUE hm2_7i80.0.gpio.018.in 9 bit OUT FALSE hm2_7i80.0.gpio.018.in_not 9 bit IN FALSE hm2_7i80.0.gpio.018.out 9 bit OUT TRUE hm2_7i80.0.gpio.019.in 9 bit OUT FALSE hm2_7i80.0.gpio.019.in_not 9 bit IN FALSE hm2_7i80.0.gpio.019.out 9 bit OUT TRUE hm2_7i80.0.gpio.020.in 9 bit OUT FALSE hm2_7i80.0.gpio.020.in_not 9 bit IN FALSE hm2_7i80.0.gpio.020.out 9 bit OUT TRUE hm2_7i80.0.gpio.021.in 9 bit OUT FALSE hm2_7i80.0.gpio.021.in_not 9 bit IN FALSE hm2_7i80.0.gpio.021.out 9 bit OUT TRUE hm2_7i80.0.gpio.022.in 9 bit OUT FALSE hm2_7i80.0.gpio.022.in_not 9 bit IN FALSE hm2_7i80.0.gpio.022.out 9 bit OUT TRUE hm2_7i80.0.gpio.023.in 9 bit OUT FALSE hm2_7i80.0.gpio.023.in_not 9 bit IN FALSE hm2_7i80.0.gpio.023.out 9 bit OUT TRUE hm2_7i80.0.gpio.024.in 9 bit OUT FALSE hm2_7i80.0.gpio.024.in_not 9 bit IN FALSE hm2_7i80.0.gpio.024.out 9 bit OUT TRUE hm2_7i80.0.gpio.025.in 9 bit OUT FALSE hm2_7i80.0.gpio.025.in_not 9 bit IN FALSE hm2_7i80.0.gpio.025.out 9 bit OUT TRUE hm2_7i80.0.gpio.026.in 9 bit OUT FALSE hm2_7i80.0.gpio.026.in_not 9 bit IN FALSE hm2_7i80.0.gpio.026.out 9 bit OUT TRUE hm2_7i80.0.gpio.027.in 9 bit OUT FALSE hm2_7i80.0.gpio.027.in_not 9 bit IN FALSE hm2_7i80.0.gpio.027.out 9 bit OUT TRUE hm2_7i80.0.gpio.028.in 9 bit OUT FALSE hm2_7i80.0.gpio.028.in_not 9 bit IN FALSE hm2_7i80.0.gpio.028.out 9 bit OUT TRUE hm2_7i80.0.gpio.029.in 9 bit OUT FALSE hm2_7i80.0.gpio.029.in_not 9 bit IN FALSE hm2_7i80.0.gpio.029.out 9 bit OUT TRUE hm2_7i80.0.gpio.030.in 9 bit OUT FALSE hm2_7i80.0.gpio.030.in_not 9 bit IN FALSE hm2_7i80.0.gpio.030.out 9 bit OUT TRUE hm2_7i80.0.gpio.031.in 9 bit OUT FALSE hm2_7i80.0.gpio.031.in_not 9 bit IN FALSE hm2_7i80.0.gpio.031.out 9 bit OUT TRUE hm2_7i80.0.gpio.032.in 9 bit OUT FALSE hm2_7i80.0.gpio.032.in_not 9 bit IN FALSE hm2_7i80.0.gpio.032.out 9 bit OUT TRUE hm2_7i80.0.gpio.033.in 9 bit OUT FALSE hm2_7i80.0.gpio.033.in_not 9 bit IN FALSE hm2_7i80.0.gpio.033.out 9 bit OUT TRUE hm2_7i80.0.gpio.034.in 9 bit OUT FALSE hm2_7i80.0.gpio.034.in_not 9 bit IN FALSE hm2_7i80.0.gpio.034.out 9 bit OUT TRUE hm2_7i80.0.gpio.035.in 9 bit OUT FALSE hm2_7i80.0.gpio.035.in_not 9 bit IN FALSE hm2_7i80.0.gpio.035.out 9 bit OUT TRUE hm2_7i80.0.gpio.036.in 9 bit OUT FALSE hm2_7i80.0.gpio.036.in_not 9 bit IN FALSE hm2_7i80.0.gpio.036.out 9 bit OUT TRUE hm2_7i80.0.gpio.037.in 9 bit OUT FALSE hm2_7i80.0.gpio.037.in_not 9 bit IN FALSE hm2_7i80.0.gpio.037.out 9 bit OUT TRUE hm2_7i80.0.gpio.038.in 9 bit OUT FALSE hm2_7i80.0.gpio.038.in_not 9 bit IN FALSE hm2_7i80.0.gpio.038.out 9 bit OUT TRUE hm2_7i80.0.gpio.039.in 9 bit OUT FALSE hm2_7i80.0.gpio.039.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.040.in 9 bit OUT FALSE hm2_7i80.0.gpio.040.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.041.in 9 bit OUT TRUE hm2_7i80.0.gpio.041.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.042.in 9 bit OUT FALSE hm2_7i80.0.gpio.042.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.043.in 9 bit OUT FALSE hm2_7i80.0.gpio.043.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.044.in 9 bit OUT FALSE hm2_7i80.0.gpio.044.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.045.in 9 bit OUT TRUE hm2_7i80.0.gpio.045.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.046.in 9 bit OUT TRUE hm2_7i80.0.gpio.046.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.047.in 9 bit OUT FALSE hm2_7i80.0.gpio.047.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.048.in 9 bit OUT TRUE hm2_7i80.0.gpio.048.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.049.in 9 bit OUT TRUE hm2_7i80.0.gpio.049.in_not 9 bit OUT FALSE hm2_7i80.0.gpio.050.in 9 bit OUT TRUE hm2_7i80.0.gpio.050.in_not 9 bit OUT TRUE hm2_7i80.0.gpio.051.in 9 bit OUT FALSE hm2_7i80.0.gpio.051.in_not 9 bit IN FALSE hm2_7i80.0.gpio.051.out 9 bit OUT TRUE hm2_7i80.0.gpio.052.in 9 bit OUT FALSE hm2_7i80.0.gpio.052.in_not 9 bit IN FALSE hm2_7i80.0.gpio.052.out 9 bit OUT TRUE hm2_7i80.0.gpio.053.in 9 bit OUT FALSE hm2_7i80.0.gpio.053.in_not 9 bit IN FALSE hm2_7i80.0.gpio.053.out 9 bit OUT TRUE hm2_7i80.0.gpio.054.in 9 bit OUT FALSE hm2_7i80.0.gpio.054.in_not 9 bit IN FALSE hm2_7i80.0.gpio.054.out 9 bit OUT TRUE hm2_7i80.0.gpio.055.in 9 bit OUT FALSE hm2_7i80.0.gpio.055.in_not 9 bit IN FALSE hm2_7i80.0.gpio.055.out 9 bit OUT TRUE hm2_7i80.0.gpio.056.in 9 bit OUT FALSE hm2_7i80.0.gpio.056.in_not 9 bit IN FALSE hm2_7i80.0.gpio.056.out 9 bit OUT TRUE hm2_7i80.0.gpio.057.in 9 bit OUT FALSE hm2_7i80.0.gpio.057.in_not 9 bit IN FALSE hm2_7i80.0.gpio.057.out 9 bit OUT TRUE hm2_7i80.0.gpio.058.in 9 bit OUT FALSE hm2_7i80.0.gpio.058.in_not 9 bit IN FALSE hm2_7i80.0.gpio.058.out 9 bit OUT TRUE hm2_7i80.0.gpio.059.in 9 bit OUT FALSE hm2_7i80.0.gpio.059.in_not 9 bit IN FALSE hm2_7i80.0.gpio.059.out 9 bit OUT TRUE hm2_7i80.0.gpio.060.in 9 bit OUT FALSE hm2_7i80.0.gpio.060.in_not 9 bit IN FALSE hm2_7i80.0.gpio.060.out 9 bit OUT TRUE hm2_7i80.0.gpio.061.in 9 bit OUT FALSE hm2_7i80.0.gpio.061.in_not 9 bit IN FALSE hm2_7i80.0.gpio.061.out 9 bit OUT TRUE hm2_7i80.0.gpio.062.in 9 bit OUT FALSE hm2_7i80.0.gpio.062.in_not 9 bit IN FALSE hm2_7i80.0.gpio.062.out 9 bit OUT TRUE hm2_7i80.0.gpio.063.in 9 bit OUT FALSE hm2_7i80.0.gpio.063.in_not 9 bit IN FALSE hm2_7i80.0.gpio.063.out 9 bit OUT TRUE hm2_7i80.0.gpio.064.in 9 bit OUT FALSE hm2_7i80.0.gpio.064.in_not 9 bit IN FALSE hm2_7i80.0.gpio.064.out 9 bit OUT TRUE hm2_7i80.0.gpio.065.in 9 bit OUT FALSE hm2_7i80.0.gpio.065.in_not 9 bit IN FALSE hm2_7i80.0.gpio.065.out 9 bit OUT TRUE hm2_7i80.0.gpio.066.in 9 bit OUT FALSE hm2_7i80.0.gpio.066.in_not 9 bit IN FALSE hm2_7i80.0.gpio.066.out 9 bit OUT TRUE hm2_7i80.0.gpio.067.in 9 bit OUT FALSE hm2_7i80.0.gpio.067.in_not 9 bit IN FALSE hm2_7i80.0.gpio.067.out 9 bit IN FALSE hm2_7i80.0.led.CR01 9 bit IN FALSE hm2_7i80.0.led.CR02 9 bit IN FALSE hm2_7i80.0.led.CR03 9 bit IN FALSE hm2_7i80.0.led.CR04 9 s32 OUT 0 hm2_7i80.0.read-request.time 9 s32 OUT 0 hm2_7i80.0.read.time 9 u32 OUT 0x00000000 hm2_7i80.0.sserial.port-0.fault-count 9 u32 OUT 0x00000000 hm2_7i80.0.sserial.port-0.port_state 9 bit IN TRUE hm2_7i80.0.sserial.port-0.run 9 bit IN FALSE hm2_7i80.0.stepgen.00.control-type 9 s32 OUT 0 hm2_7i80.0.stepgen.00.counts 9 float OUT 0 hm2_7i80.0.stepgen.00.dbg_err_at_match 9 float OUT 0 hm2_7i80.0.stepgen.00.dbg_ff_vel 9 float OUT 0 hm2_7i80.0.stepgen.00.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i80.0.stepgen.00.dbg_s_to_match 9 s32 OUT 0 hm2_7i80.0.stepgen.00.dbg_step_rate 9 float OUT 0 hm2_7i80.0.stepgen.00.dbg_vel_error 9 bit IN FALSE hm2_7i80.0.stepgen.00.enable 9 float IN 0 hm2_7i80.0.stepgen.00.position-cmd 9 float OUT 0 hm2_7i80.0.stepgen.00.position-fb 9 float IN 0 hm2_7i80.0.stepgen.00.velocity-cmd 9 float OUT 0 hm2_7i80.0.stepgen.00.velocity-fb 9 s32 IN -1 hm2_7i80.0.stepgen.timer-number 9 bit I/O FALSE hm2_7i80.0.watchdog.has_bit 9 s32 OUT 0 hm2_7i80.0.write.time