library IEEE; use IEEE.std_logic_1164.all; -- defines std_logic types use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics -- http://www.mesanet.com -- -- edit by Andre Meier -- use work.IDROMConst.all; package PIN_2x7i74s_1x7i44_72_andre is constant ModuleID : ModuleIDType :=( (WatchDogTag, x"00", ClockLowTag, x"01", WatchDogTimeAddr&PadT, WatchDogNumRegs, x"00", WatchDogMPBitMask), (IOPortTag, x"00", ClockLowTag, x"03", PortAddr&PadT, IOPortNumRegs, x"00", IOPortMPBitMask), (QcountTag, x"02", ClockLowTag, x"08", QcounterAddr&PadT, QCounterNumRegs, x"00", QCounterMPBitMask), (PWMTag, x"00", ClockHighTag, x"02", PWMValAddr&PadT, PWMNumRegs, x"00", PWMMPBitMask), (StepGenTag, x"02", ClockLowTag, x"08", StepGenRateAddr&PadT, StepGenNumRegs, x"00", StepGenMPBitMask), (SSerialTag, x"00", ClockLowTag, x"01", SSerialCommandAddr&PadT, SSerialNumRegs, x"10", SSerialMPBitMask), (LEDTag, x"00", ClockLowTag, x"01", LEDAddr&PadT, LEDNumRegs, x"00", LEDMPBitMask), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000") ); constant PinDesc : PinDescType :=( -- Base func sec unit sec func sec pin P1 7i47s IOPortTag & x"00" & StepGenTag & StepGenStepPin, -- I/O 00 TX4 IOPortTag & x"00" & StepGenTag & StepGenDirPin, -- I/O 01 TX5 IOPortTag & x"01" & StepGenTag & StepGenStepPin, -- I/O 02 TX6 IOPortTag & x"01" & StepGenTag & StepGenDirPin, -- I/O 03 TX7 IOPortTag & x"00" & QCountTag & QCountQAPin, -- I/O 04 RX0 IOPortTag & x"02" & QCountTag & QCountQAPin, -- I/O 05 IOPortTag & x"00" & QCountTag & QCountQBPin, -- I/O 06 RX1 IOPortTag & x"02" & QCountTag & QCountQBPin, -- I/O 07 IOPortTag & x"00" & QCountTag & QCountIDXPin, -- I/O 08 RX2 IOPortTag & x"02" & QCountTag & QCountIDXPin, -- I/O 09 IOPortTag & x"01" & QCountTag & QCountQAPin, -- I/O 10 IOPortTag & x"03" & QCountTag & QCountQAPin, -- I/O 11 IOPortTag & x"01" & QCountTag & QCountQBPin, -- I/O 12 IOPortTag & x"03" & QCountTag & QCountQBPin, -- I/O 13 IOPortTag & x"01" & QCountTag & QCountIDXPin, -- I/O 14 IOPortTag & x"03" & QCountTag & QCountIDXPin, -- I/O 15 IOPortTag & x"00" & NullTag & x"00", -- I/O 16 7I47S SPARE ISOLATED OUT IOPortTag & x"00" & PWMTag & PWMBDirPin, -- I/O 17 7I47S DIRECTION IOPortTag & x"00" & PWMTag & PWMCEnaPin, -- I/O 18 7I47S PWMENABLE IOPortTag & x"00" & PWMTag & PWMAOutPin, -- I/O 19 7I47S PWMOUT IOPortTag & x"02" & StepGenTag & StepGenStepPin, -- I/O 20 TX0 IOPortTag & x"02" & StepGenTag & StepGenDirPin, -- I/O 21 TX1 IOPortTag & x"03" & StepGenTag & StepGenStepPin, -- I/O 22 TX2 IOPortTag & x"03" & StepGenTag & StepGenDirPin, -- I/O 23 TX3 -- P2 7i47s IOPortTag & x"04" & StepGenTag & StepGenStepPin, -- I/O 00 TX4 IOPortTag & x"04" & StepGenTag & StepGenDirPin, -- I/O 01 TX5 IOPortTag & x"05" & StepGenTag & StepGenStepPin, -- I/O 02 TX6 IOPortTag & x"05" & StepGenTag & StepGenDirPin, -- I/O 03 TX7 IOPortTag & x"04" & QCountTag & QCountQAPin, -- I/O 04 RX0 IOPortTag & x"06" & QCountTag & QCountQAPin, -- I/O 05 IOPortTag & x"04" & QCountTag & QCountQBPin, -- I/O 06 RX1 IOPortTag & x"06" & QCountTag & QCountQBPin, -- I/O 07 IOPortTag & x"04" & QCountTag & QCountIDXPin, -- I/O 08 RX2 IOPortTag & x"04" & QCountTag & QCountIDXPin, -- I/O 09 IOPortTag & x"05" & QCountTag & QCountQAPin, -- I/O 10 IOPortTag & x"07" & QCountTag & QCountQAPin, -- I/O 11 IOPortTag & x"05" & QCountTag & QCountQBPin, -- I/O 12 IOPortTag & x"07" & QCountTag & QCountQBPin, -- I/O 13 IOPortTag & x"05" & QCountTag & QCountIDXPin, -- I/O 14 IOPortTag & x"07" & QCountTag & QCountIDXPin, -- I/O 15 IOPortTag & x"00" & NullTag & x"00", -- I/O 16 7I47S SPARE ISOLATED OUT IOPortTag & x"01" & PWMTag & PWMBDirPin, -- I/O 17 7I47S DIRECTION IOPortTag & x"01" & PWMTag & PWMCEnaPin, -- I/O 18 7I47S PWMENABLE IOPortTag & x"01" & PWMTag & PWMAOutPin, -- I/O 19 7I47S PWMOUT IOPortTag & x"06" & StepGenTag & StepGenStepPin, -- I/O 20 TX0 IOPortTag & x"06" & StepGenTag & StepGenDirPin, -- I/O 21 TX1 IOPortTag & x"07" & StepGenTag & StepGenStepPin, -- I/O 22 TX2 IOPortTag & x"07" & StepGenTag & StepGenDirPin, -- I/O 23 TX3 -- P3 7i44 8x sserial IOPortTag & x"00" & SSerialTag & SSerialRX0Pin, -- I/O 48 IOPortTag & x"00" & SSerialTag & SSerialRX1Pin, -- I/O 49 IOPortTag & x"00" & SSerialTag & SSerialRX2Pin, -- I/O 50 IOPortTag & x"00" & SSerialTag & SSerialRX3Pin, -- I/O 51 IOPortTag & x"00" & SSerialTag & SSerialTX0Pin, -- I/O 52 IOPortTag & x"00" & SSerialTag & SSerialTXEn0Pin, -- I/O 53 IOPortTag & x"00" & SSerialTag & SSerialTX1Pin, -- I/O 54 IOPortTag & x"00" & SSerialTag & SSerialTXEn1Pin, -- I/O 55 IOPortTag & x"00" & SSerialTag & SSerialTX2Pin, -- I/O 56 IOPortTag & x"00" & SSerialTag & SSerialTXEn2Pin, -- I/O 57 IOPortTag & x"00" & SSerialTag & SSerialTX3Pin, -- I/O 58 IOPortTag & x"00" & SSerialTag & SSerialTXEn3Pin, -- I/O 59 IOPortTag & x"00" & SSerialTag & SSerialRX4Pin, -- I/O 60 IOPortTag & x"00" & SSerialTag & SSerialRX5Pin, -- I/O 61 IOPortTag & x"00" & SSerialTag & SSerialRX6Pin, -- I/O 62 IOPortTag & x"00" & SSerialTag & SSerialRX7Pin, -- I/O 63 IOPortTag & x"00" & SSerialTag & SSerialTX4Pin, -- I/O 64 IOPortTag & x"00" & SSerialTag & SSerialTXEn4Pin, -- I/O 65 IOPortTag & x"00" & SSerialTag & SSerialTX5Pin, -- I/O 66 IOPortTag & x"00" & SSerialTag & SSerialTXEn5Pin, -- I/O 67 IOPortTag & x"00" & SSerialTag & SSerialTX6Pin, -- I/O 68 IOPortTag & x"00" & SSerialTag & SSerialTXEn6Pin, -- I/O 69 IOPortTag & x"00" & SSerialTag & SSerialTX7Pin, -- I/O 70 IOPortTag & x"00" & SSerialTag & SSerialTXEn7Pin, -- I/O 71 emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, -- added for IDROM v3 emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin); end package PIN_2x7i74s_1x7i44_72_andre;