WARNING:HDLCompiler:746 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 294: Range is empty (null range) WARNING:HDLCompiler:746 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 137: Range is empty (null range) WARNING:HDLCompiler:746 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 276: Range is empty (null range) WARNING:HDLCompiler:89 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/kubstepgenz.vhd" Line 147: remains a black-box since it has no binding entity. WARNING:HDLCompiler:89 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/uartr8.vhd" Line 138: remains a black-box since it has no binding entity. WARNING:HDLCompiler:89 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/uartx8.vhd" Line 132: remains a black-box since it has no binding entity. WARNING:HDLCompiler:92 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/sserialwa.vhd" Line 810: loadrxfiltersel should be on the sensitivity list of the process WARNING:HDLCompiler:746 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/boutreg.vhd" Line 107: Range is empty (null range) WARNING:HDLCompiler:220 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/boutreg.vhd" Line 107: Assignment ignored WARNING:HDLCompiler:634 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 244: Net does not have a driver. WARNING:HDLCompiler:634 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 287: Net does not have a driver. WARNING:HDLCompiler:634 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 938: Net does not have a driver. WARNING:HDLCompiler:634 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/hostmot2.vhd" Line 939: Net does not have a driver. WARNING:HDLCompiler:1127 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 567: Assignment to configreadstb ignored, since the identifier is never used WARNING:HDLCompiler:92 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 831: readstb should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 847: readicapcookie should be on the sensitivity list of the process WARNING:HDLCompiler:92 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 893: wdlbite should be on the sensitivity list of the process WARNING:HDLCompiler:634 - "/home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.vhd" Line 385: Net does not have a driver. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:2935 - Signal 'BAR0Reg<15:0>', unconnected in block 'TopPCIHostMot2', is tied to its initial value (0000000000000000). WARNING:Xst:2404 - FFs/Latches > (without init value) have a constant value of 0 in block . WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:2935 - Signal 'AltData<63:60>', unconnected in block 'HostMot2', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'AltData<51:48>', unconnected in block 'HostMot2', is tied to its initial value (0000). WARNING:Xst:2935 - Signal 'AltData<40:28>', unconnected in block 'HostMot2', is tied to its initial value (0000000000000). WARNING:Xst:2935 - Signal 'AltData<16:4>', unconnected in block 'HostMot2', is tied to its initial value (0000000000000). WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal > is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value GND. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2040 - Unit TopPCIHostMot2: 32 multi-source signals are replaced by logic (pull-up yes): D<10>, D<11>, D<12>, D<13>, D<14>, D<15>, D<16>, D<17>, D<18>, D<19>, D<20>, D<21>, D<22>, D<23>, D<24>, D<25>, D<26>, D<27>, D<28>, n0416<1>, n0416<2>, n0416<3>, n0416<4>, n0416<5>, n0416<6>, n0416<7>. WARNING:Xst:2042 - Unit watchdog: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit irqlogics: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit rategen: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit stepgen: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit qcounter: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit boutreg_1: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2040 - Unit sserialwa: 40 multi-source signals are replaced by logic (pull-up yes): iodata<0>, iodata<1>, iodata<2>, iodata<3>, iodata<4>, iodata<5>, iodata<6>, iodata<7>, obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit boutreg_3: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit timestamp: 16 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<1>, obus<2>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit boutreg_2: 4 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<1>, obus<2>, obus<3>. WARNING:Xst:2042 - Unit wordrb: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit hostmotid: 32 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<10>, obus<11>, obus<12>, obus<13>, obus<14>, obus<15>, obus<16>, obus<17>, obus<18>, obus<19>, obus<1>, obus<20>, obus<21>, obus<22>, obus<23>, obus<24>, obus<25>, obus<26>, obus<27>, obus<28>, obus<29>, obus<2>, obus<30>, obus<31>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>, obus<8>, obus<9>. WARNING:Xst:2042 - Unit simplespi8: 8 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<1>, obus<2>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>. WARNING:Xst:2042 - Unit uartx8: 8 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<1>, obus<2>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>. WARNING:Xst:2042 - Unit uartr8: 8 internal tristates are replaced by logic (pull-up yes): obus<0>, obus<1>, obus<2>, obus<3>, obus<4>, obus<5>, obus<6>, obus<7>. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. WARNING:ConstraintSystem - TNM : async_med was distributed to a DCM but new TNM constraints were not derived. This TNM is used in the following user groups or specifications: [5i24.ucf(142)] [5i24.ucf(143)] WARNING:Par:288 - The signal NLOCK_IBUF has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/processor/StackRam/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/processor/StackRam/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin2/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout3/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout2/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout3/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin1/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin2/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin3/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout2/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout1/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin0/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout3/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout3/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout1/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin1/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin0/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin3/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin3/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin0/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin2/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin1/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout0/Mram_RAM4_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin1/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin1/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin0/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin0/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout3/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout0/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout1/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin3/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin2/Mram_RAM5_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin2/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramin3/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout0/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout2/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout1/Mram_RAM3_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout2/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout2/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout1/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout0/Mram_RAM2_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:288 - The signal ahostmot2/makesserialmod.makesserials[0].asserial/interfaceramout0/Mram_RAM1_RAMD_D1_O has no load. PAR will not attempt to route this signal. WARNING:Par:283 - There are 43 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. WARNING:ProjectMgmt - File /home/andre/l-cnc_srv/Mesa_Card/5i24/configs/hostmot2/source/TopPCIHostMot2.bit is missing. WARNING:Bitgen:284 - Setting next_config_register_write to Disable will cause the next_config_addr, next_config_new_mode, and next_config_boot_mode options to be ignored and their respective register writes to be excluded from the bitstream. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:367 - The signal is incomplete. The signal does not drive any load pins in the design. WARNING:PhysDesignRules:2410 - This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999.