mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halrun halcmd: show pin hm2 Component Pins: Owner Type Dir Value Name halcmd: show pin Component Pins: Owner Type Dir Value Name halcmd: show pin exit Component Pins: Owner Type Dir Value Name halcmd: exit Note: Using POSIX realtime mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd show pin hm2 Component Pins: Owner Type Dir Value Name mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd loadrt :0: loadrt requires at least 1 arguments, 0 given mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd loadrtloadrt hm2_eth board_ip="10.10.10.10" :0: Unknown command 'loadrtloadrt' mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd loadrt hm2_eth board_ip="10.10.10.10" Note: Using POSIX realtime hm2_eth: dlopen: /usr/lib/linuxcnc/modules/hm2_eth.so: undefined symbol: hm2_register :0: waitpid failed /usr/bin/rtapi_app hm2_eth :0: /usr/bin/rtapi_app exited without becoming ready :0: insmod for hm2_eth failed, returned -1 mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd loadrt hm2_eth board_ip="10.10.10.10" showpin hm2 Note: Using POSIX realtime hm2_eth: dlopen: /usr/lib/linuxcnc/modules/hm2_eth.so: undefined symbol: hm2_register :0: waitpid failed /usr/bin/rtapi_app hm2_eth :0: /usr/bin/rtapi_app exited without becoming ready :0: insmod for hm2_eth failed, returned -1 mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halcmd show pin hm2 Component Pins: Owner Type Dir Value Name mick@debian:~/Desktop/mesaflash-master/mesaflash-master$ halrun halcmd: loadrt hostmot2 Note: Using POSIX realtime hm2: loading Mesa HostMot2 driver version 0.15 halcmd: loadrt hm2_eth board_ip="10.10.10.10" config Invalid parameter `config' :2: waitpid failed /usr/bin/rtapi_app hm2_eth :2: /usr/bin/rtapi_app exited without becoming ready :2: insmod for hm2_eth failed, returned -1 halcmd: loadrt hm2_eth board_ip="10.10.10.10" config= hm2_eth: loading Mesa AnyIO HostMot2 ethernet driver version 0.2 hm2_eth: 10.10.10.10: INFO: Hardware address (MAC): 00:60:1b:14:80:13 hm2_eth: discovered 7I94 hm2/hm2_7i94.0: Low Level init 0.15 hm2/hm2_7i94.0: Smart Serial Firmware Version 43 Board hm2_7i94.0.7i83.0.0 Hardware Mode 0 = standard Board hm2_7i94.0.7i83.0.0 Software Mode 0 = analogout_enables Board hm2_7i94.0.7i84.0.1 Hardware Mode 0 = standard Board hm2_7i94.0.7i84.0.1 Software Mode 0 = input_output Board hm2_7i94.0.7i84.0.1 Software Mode 1 = io_analog_fieldvoltage Board hm2_7i94.0.7i84.0.1 Software Mode 2 = io_encoder_analog Board hm2_7i94.0.7i84.0.2 Hardware Mode 0 = standard Board hm2_7i94.0.7i84.0.2 Software Mode 0 = input_output Board hm2_7i94.0.7i84.0.2 Software Mode 1 = io_analog_fieldvoltage Board hm2_7i94.0.7i84.0.2 Software Mode 2 = io_encoder_analog Waiting for component 'hm2_eth' to become ready...hm2/hm2_7i94.0: 42 I/O Pins used: hm2/hm2_7i94.0: IO Pin 000 (P2-01): StepGen #0, pin Step (Output) hm2/hm2_7i94.0: IO Pin 001 (P2-02): StepGen #0, pin Direction (Output) hm2/hm2_7i94.0: IO Pin 002 (P2-03): StepGen #1, pin Step (Output) hm2/hm2_7i94.0: IO Pin 003 (P2-04): StepGen #1, pin Direction (Output) hm2/hm2_7i94.0: IO Pin 004 (P2-05): StepGen #2, pin Step (Output) hm2/hm2_7i94.0: IO Pin 005 (P2-06): StepGen #2, pin Direction (Output) hm2/hm2_7i94.0: IO Pin 006 (P2-07): StepGen #3, pin Step (Output) hm2/hm2_7i94.0: IO Pin 007 (P2-08): StepGen #3, pin Direction (Output) hm2/hm2_7i94.0: IO Pin 008 (P2-09): StepGen #4, pin Step (Output) hm2/hm2_7i94.0: IO Pin 009 (P2-11): StepGen #4, pin Direction (Output) hm2/hm2_7i94.0: IO Pin 010 (P2-13): PWMGen #0, pin Out0 (PWM or Up) (Output) hm2/hm2_7i94.0: IO Pin 011 (P2-15): PWMGen #0, pin Out1 (Dir or Down) (Output) hm2/hm2_7i94.0: IO Pin 012 (P2-17): PWMGen #1, pin Out0 (PWM or Up) (Output) hm2/hm2_7i94.0: IO Pin 013 (P2-19): PWMGen #1, pin Out1 (Dir or Down) (Output) hm2/hm2_7i94.0: IO Pin 014 (P2-21): PWMGen #2, pin Out0 (PWM or Up) (Output) hm2/hm2_7i94.0: IO Pin 015 (P2-23): PWMGen #2, pin Out1 (Dir or Down) (Output) hm2/hm2_7i94.0: IO Pin 016 (P2-25): IOPort hm2/hm2_7i94.0: IO Pin 017 (J6-RX0): Smart Serial Interface #0, pin rx0 (Input) hm2/hm2_7i94.0: IO Pin 018 (J6-TX0): Smart Serial Interface #0, pin tx0 (Output) hm2/hm2_7i94.0: IO Pin 019 (J6-TXEN0): Smart Serial Interface #0, pin txen0 (Output) hm2/hm2_7i94.0: IO Pin 020 (J7-RX1): Smart Serial Interface #0, pin rx1 (Input) hm2/hm2_7i94.0: IO Pin 021 (J7-TX1): Smart Serial Interface #0, pin tx1 (Output) hm2/hm2_7i94.0: IO Pin 022 (J7-TXEN1): Smart Serial Interface #0, pin txen1 (Output) hm2/hm2_7i94.0: IO Pin 023 (J8-RX2): Smart Serial Interface #0, pin rx2 (Input) hm2/hm2_7i94.0: IO Pin 024 (J8-TX2): Smart Serial Interface #0, pin tx2 (Output) hm2/hm2_7i94.0: IO Pin 025 (J8-TXEN2): Smart Serial Interface #0, pin txen2 (Output) hm2/hm2_7i94.0: IO Pin 026 (J9-RX3): IOPort hm2/hm2_7i94.0: IO Pin 027 (J9-TX3): IOPort hm2/hm2_7i94.0: IO Pin 028 (J9-TXEN3): IOPort hm2/hm2_7i94.0: IO Pin 029 (J4-RX4): IOPort hm2/hm2_7i94.0: IO Pin 030 (J4-TX4): IOPort hm2/hm2_7i94.0: IO Pin 031 (J4-TXEN4): IOPort hm2/hm2_7i94.0: IO Pin 032 (J3-RX5): IOPort hm2/hm2_7i94.0: IO Pin 033 (J3-TX5): IOPort hm2/hm2_7i94.0: IO Pin 034 (J3-TXEN5): IOPort hm2/hm2_7i94.0: IO Pin 035 (J2-RX6): IOPort hm2/hm2_7i94.0: IO Pin 036 (J2-TX6): IOPort hm2/hm2_7i94.0: IO Pin 037 (J2-TXEN6): IOPort hm2/hm2_7i94.0: IO Pin 038 (J1-RX7): IOPort hm2/hm2_7i94.0: IO Pin 039 (J1-TX7): IOPort hm2/hm2_7i94.0: IO Pin 040 (J1-TXEN7): IOPort hm2/hm2_7i94.0: IO Pin 041 (P2-/IOENA): IOPort hm2/hm2_7i94.0: registered halcmd: show pin Component Pins: Owner Type Dir Value Name 9 s32 OUT 0 hm2_7i94.0.0.debug 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena0 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena1 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena2 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena3 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena4 9 bit IN FALSE hm2_7i94.0.7i83.0.0.analogena5 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout0 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout1 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout2 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout3 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout4 9 float IN 0 hm2_7i94.0.7i83.0.0.analogout5 9 float OUT 0 hm2_7i94.0.7i83.0.0.fieldvoltage 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-00 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-00-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-01 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-01-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-02 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-02-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-03 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-03-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-04 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-04-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-05 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-05-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-06 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-06-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-07 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-07-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-08 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-08-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-09 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-09-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-10 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-10-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-11 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-11-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-12 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-12-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-13 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-13-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-14 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-14-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-15 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-15-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-16 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-16-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-17 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-17-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-18 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-18-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-19 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-19-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-20 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-20-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-21 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-21-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-22 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-22-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-23 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-23-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-24 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-24-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-25 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-25-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-26 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-26-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-27 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-27-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-28 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-28-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-29 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-29-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-30 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-30-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-31 9 bit OUT FALSE hm2_7i94.0.7i84.0.1.input-31-not 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-00 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-01 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-02 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-03 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-04 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-05 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-06 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-07 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-08 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-09 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-10 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-11 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-12 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-13 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-14 9 bit IN FALSE hm2_7i94.0.7i84.0.1.output-15 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-00 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-00-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-01 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-01-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-02 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-02-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-03 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-03-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-04 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-04-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-05 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-05-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-06 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-06-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-07 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-07-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-08 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-08-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-09 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-09-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-10 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-10-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-11 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-11-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-12 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-12-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-13 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-13-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-14 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-14-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-15 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-15-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-16 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-16-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-17 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-17-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-18 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-18-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-19 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-19-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-20 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-20-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-21 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-21-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-22 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-22-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-23 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-23-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-24 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-24-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-25 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-25-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-26 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-26-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-27 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-27-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-28 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-28-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-29 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-29-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-30 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-30-not 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-31 9 bit OUT FALSE hm2_7i94.0.7i84.0.2.input-31-not 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-00 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-01 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-02 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-03 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-04 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-05 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-06 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-07 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-08 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-09 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-10 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-11 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-12 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-13 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-14 9 bit IN FALSE hm2_7i94.0.7i84.0.2.output-15 9 float IN 100 hm2_7i94.0.dpll.01.timer-us 9 float IN 100 hm2_7i94.0.dpll.02.timer-us 9 float IN 100 hm2_7i94.0.dpll.03.timer-us 9 float IN 100 hm2_7i94.0.dpll.04.timer-us 9 float IN -1 hm2_7i94.0.dpll.base-freq-khz 9 u32 OUT 0x00000000 hm2_7i94.0.dpll.ddsize 9 float OUT 0 hm2_7i94.0.dpll.phase-error-us 9 u32 IN 0x00400000 hm2_7i94.0.dpll.plimit 9 u32 OUT 0x00000001 hm2_7i94.0.dpll.prescale 9 u32 IN 0x000007D0 hm2_7i94.0.dpll.time-const 9 bit OUT FALSE hm2_7i94.0.gpio.000.in 9 bit OUT TRUE hm2_7i94.0.gpio.000.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.001.in 9 bit OUT TRUE hm2_7i94.0.gpio.001.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.002.in 9 bit OUT TRUE hm2_7i94.0.gpio.002.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.003.in 9 bit OUT TRUE hm2_7i94.0.gpio.003.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.004.in 9 bit OUT TRUE hm2_7i94.0.gpio.004.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.005.in 9 bit OUT TRUE hm2_7i94.0.gpio.005.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.006.in 9 bit OUT TRUE hm2_7i94.0.gpio.006.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.007.in 9 bit OUT TRUE hm2_7i94.0.gpio.007.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.008.in 9 bit OUT TRUE hm2_7i94.0.gpio.008.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.009.in 9 bit OUT TRUE hm2_7i94.0.gpio.009.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.010.in 9 bit OUT TRUE hm2_7i94.0.gpio.010.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.011.in 9 bit OUT TRUE hm2_7i94.0.gpio.011.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.012.in 9 bit OUT TRUE hm2_7i94.0.gpio.012.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.013.in 9 bit OUT TRUE hm2_7i94.0.gpio.013.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.014.in 9 bit OUT TRUE hm2_7i94.0.gpio.014.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.015.in 9 bit OUT TRUE hm2_7i94.0.gpio.015.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.016.in 9 bit OUT TRUE hm2_7i94.0.gpio.016.in_not 9 bit IN FALSE hm2_7i94.0.gpio.016.out 9 bit OUT TRUE hm2_7i94.0.gpio.017.in 9 bit OUT FALSE hm2_7i94.0.gpio.017.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.018.in 9 bit OUT FALSE hm2_7i94.0.gpio.018.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.019.in 9 bit OUT TRUE hm2_7i94.0.gpio.019.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.020.in 9 bit OUT FALSE hm2_7i94.0.gpio.020.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.021.in 9 bit OUT FALSE hm2_7i94.0.gpio.021.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.022.in 9 bit OUT TRUE hm2_7i94.0.gpio.022.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.023.in 9 bit OUT FALSE hm2_7i94.0.gpio.023.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.024.in 9 bit OUT FALSE hm2_7i94.0.gpio.024.in_not 9 bit OUT FALSE hm2_7i94.0.gpio.025.in 9 bit OUT TRUE hm2_7i94.0.gpio.025.in_not 9 bit OUT TRUE hm2_7i94.0.gpio.026.in 9 bit OUT FALSE hm2_7i94.0.gpio.026.in_not 9 bit IN FALSE hm2_7i94.0.gpio.026.out 9 bit OUT TRUE hm2_7i94.0.gpio.027.in 9 bit OUT FALSE hm2_7i94.0.gpio.027.in_not 9 bit IN FALSE hm2_7i94.0.gpio.027.out 9 bit OUT TRUE hm2_7i94.0.gpio.028.in 9 bit OUT FALSE hm2_7i94.0.gpio.028.in_not 9 bit IN FALSE hm2_7i94.0.gpio.028.out 9 bit OUT TRUE hm2_7i94.0.gpio.029.in 9 bit OUT FALSE hm2_7i94.0.gpio.029.in_not 9 bit IN FALSE hm2_7i94.0.gpio.029.out 9 bit OUT TRUE hm2_7i94.0.gpio.030.in 9 bit OUT FALSE hm2_7i94.0.gpio.030.in_not 9 bit IN FALSE hm2_7i94.0.gpio.030.out 9 bit OUT TRUE hm2_7i94.0.gpio.031.in 9 bit OUT FALSE hm2_7i94.0.gpio.031.in_not 9 bit IN FALSE hm2_7i94.0.gpio.031.out 9 bit OUT TRUE hm2_7i94.0.gpio.032.in 9 bit OUT FALSE hm2_7i94.0.gpio.032.in_not 9 bit IN FALSE hm2_7i94.0.gpio.032.out 9 bit OUT TRUE hm2_7i94.0.gpio.033.in 9 bit OUT FALSE hm2_7i94.0.gpio.033.in_not 9 bit IN FALSE hm2_7i94.0.gpio.033.out 9 bit OUT TRUE hm2_7i94.0.gpio.034.in 9 bit OUT FALSE hm2_7i94.0.gpio.034.in_not 9 bit IN FALSE hm2_7i94.0.gpio.034.out 9 bit OUT TRUE hm2_7i94.0.gpio.035.in 9 bit OUT FALSE hm2_7i94.0.gpio.035.in_not 9 bit IN FALSE hm2_7i94.0.gpio.035.out 9 bit OUT TRUE hm2_7i94.0.gpio.036.in 9 bit OUT FALSE hm2_7i94.0.gpio.036.in_not 9 bit IN FALSE hm2_7i94.0.gpio.036.out 9 bit OUT TRUE hm2_7i94.0.gpio.037.in 9 bit OUT FALSE hm2_7i94.0.gpio.037.in_not 9 bit IN FALSE hm2_7i94.0.gpio.037.out 9 bit OUT TRUE hm2_7i94.0.gpio.038.in 9 bit OUT FALSE hm2_7i94.0.gpio.038.in_not 9 bit IN FALSE hm2_7i94.0.gpio.038.out 9 bit OUT TRUE hm2_7i94.0.gpio.039.in 9 bit OUT FALSE hm2_7i94.0.gpio.039.in_not 9 bit IN FALSE hm2_7i94.0.gpio.039.out 9 bit OUT TRUE hm2_7i94.0.gpio.040.in 9 bit OUT FALSE hm2_7i94.0.gpio.040.in_not 9 bit IN FALSE hm2_7i94.0.gpio.040.out 9 bit OUT TRUE hm2_7i94.0.gpio.041.in 9 bit OUT FALSE hm2_7i94.0.gpio.041.in_not 9 bit IN FALSE hm2_7i94.0.gpio.041.out 9 bit IN FALSE hm2_7i94.0.led.CR01 9 bit IN FALSE hm2_7i94.0.led.CR02 9 bit IN FALSE hm2_7i94.0.led.CR03 9 bit IN FALSE hm2_7i94.0.led.CR04 9 bit OUT FALSE hm2_7i94.0.packet-error 9 bit OUT FALSE hm2_7i94.0.packet-error-exceeded 9 s32 OUT 0 hm2_7i94.0.packet-error-level 9 bit IN FALSE hm2_7i94.0.pwmgen.00.enable 9 float IN 0 hm2_7i94.0.pwmgen.00.value 9 bit IN FALSE hm2_7i94.0.pwmgen.01.enable 9 float IN 0 hm2_7i94.0.pwmgen.01.value 9 bit IN FALSE hm2_7i94.0.pwmgen.02.enable 9 float IN 0 hm2_7i94.0.pwmgen.02.value 9 s32 OUT 0 hm2_7i94.0.read-request.time 9 s32 OUT 0 hm2_7i94.0.read.time 9 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.fault-count 9 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state 9 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state2 9 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state3 9 bit IN TRUE hm2_7i94.0.sserial.port-0.run 9 bit IN FALSE hm2_7i94.0.stepgen.00.control-type 9 s32 OUT 0 hm2_7i94.0.stepgen.00.counts 9 float OUT 0 hm2_7i94.0.stepgen.00.dbg_err_at_match 9 float OUT 0 hm2_7i94.0.stepgen.00.dbg_ff_vel 9 float OUT 0 hm2_7i94.0.stepgen.00.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i94.0.stepgen.00.dbg_s_to_match 9 s32 OUT 0 hm2_7i94.0.stepgen.00.dbg_step_rate 9 float OUT 0 hm2_7i94.0.stepgen.00.dbg_vel_error 9 bit IN FALSE hm2_7i94.0.stepgen.00.enable 9 float IN 0 hm2_7i94.0.stepgen.00.position-cmd 9 float OUT 0 hm2_7i94.0.stepgen.00.position-fb 9 float IN 0 hm2_7i94.0.stepgen.00.velocity-cmd 9 float OUT 0 hm2_7i94.0.stepgen.00.velocity-fb 9 bit IN FALSE hm2_7i94.0.stepgen.01.control-type 9 s32 OUT 0 hm2_7i94.0.stepgen.01.counts 9 float OUT 0 hm2_7i94.0.stepgen.01.dbg_err_at_match 9 float OUT 0 hm2_7i94.0.stepgen.01.dbg_ff_vel 9 float OUT 0 hm2_7i94.0.stepgen.01.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i94.0.stepgen.01.dbg_s_to_match 9 s32 OUT 0 hm2_7i94.0.stepgen.01.dbg_step_rate 9 float OUT 0 hm2_7i94.0.stepgen.01.dbg_vel_error 9 bit IN FALSE hm2_7i94.0.stepgen.01.enable 9 float IN 0 hm2_7i94.0.stepgen.01.position-cmd 9 float OUT 0 hm2_7i94.0.stepgen.01.position-fb 9 float IN 0 hm2_7i94.0.stepgen.01.velocity-cmd 9 float OUT 0 hm2_7i94.0.stepgen.01.velocity-fb 9 bit IN FALSE hm2_7i94.0.stepgen.02.control-type 9 s32 OUT 0 hm2_7i94.0.stepgen.02.counts 9 float OUT 0 hm2_7i94.0.stepgen.02.dbg_err_at_match 9 float OUT 0 hm2_7i94.0.stepgen.02.dbg_ff_vel 9 float OUT 0 hm2_7i94.0.stepgen.02.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i94.0.stepgen.02.dbg_s_to_match 9 s32 OUT 0 hm2_7i94.0.stepgen.02.dbg_step_rate 9 float OUT 0 hm2_7i94.0.stepgen.02.dbg_vel_error 9 bit IN FALSE hm2_7i94.0.stepgen.02.enable 9 float IN 0 hm2_7i94.0.stepgen.02.position-cmd 9 float OUT 0 hm2_7i94.0.stepgen.02.position-fb 9 float IN 0 hm2_7i94.0.stepgen.02.velocity-cmd 9 float OUT 0 hm2_7i94.0.stepgen.02.velocity-fb 9 bit IN FALSE hm2_7i94.0.stepgen.03.control-type 9 s32 OUT 0 hm2_7i94.0.stepgen.03.counts 9 float OUT 0 hm2_7i94.0.stepgen.03.dbg_err_at_match 9 float OUT 0 hm2_7i94.0.stepgen.03.dbg_ff_vel 9 float OUT 0 hm2_7i94.0.stepgen.03.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i94.0.stepgen.03.dbg_s_to_match 9 s32 OUT 0 hm2_7i94.0.stepgen.03.dbg_step_rate 9 float OUT 0 hm2_7i94.0.stepgen.03.dbg_vel_error 9 bit IN FALSE hm2_7i94.0.stepgen.03.enable 9 float IN 0 hm2_7i94.0.stepgen.03.position-cmd 9 float OUT 0 hm2_7i94.0.stepgen.03.position-fb 9 float IN 0 hm2_7i94.0.stepgen.03.velocity-cmd 9 float OUT 0 hm2_7i94.0.stepgen.03.velocity-fb 9 bit IN FALSE hm2_7i94.0.stepgen.04.control-type 9 s32 OUT 0 hm2_7i94.0.stepgen.04.counts 9 float OUT 0 hm2_7i94.0.stepgen.04.dbg_err_at_match 9 float OUT 0 hm2_7i94.0.stepgen.04.dbg_ff_vel 9 float OUT 0 hm2_7i94.0.stepgen.04.dbg_pos_minus_prev_cmd 9 float OUT 0 hm2_7i94.0.stepgen.04.dbg_s_to_match 9 s32 OUT 0 hm2_7i94.0.stepgen.04.dbg_step_rate 9 float OUT 0 hm2_7i94.0.stepgen.04.dbg_vel_error 9 bit IN FALSE hm2_7i94.0.stepgen.04.enable 9 float IN 0 hm2_7i94.0.stepgen.04.position-cmd 9 float OUT 0 hm2_7i94.0.stepgen.04.position-fb 9 float IN 0 hm2_7i94.0.stepgen.04.velocity-cmd 9 float OUT 0 hm2_7i94.0.stepgen.04.velocity-fb 9 s32 IN -1 hm2_7i94.0.stepgen.timer-number 9 bit I/O FALSE hm2_7i94.0.watchdog.has_bit 9 s32 OUT 0 hm2_7i94.0.write.time halcmd: