Loaded HAL Components: ID Type Name PID State 7 RT hm2_eth ready 6 User halcmd1411 1411 ready 4 RT hostmot2 ready Component Pins: Owner Type Dir Value Name 7 s32 OUT 0 hm2_7i94.0.0.debug 7 float OUT 0 hm2_7i94.0.7i64.0.3.analog0 7 float OUT 0 hm2_7i94.0.7i64.0.3.analog1 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-00 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-00-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-01 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-01-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-02 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-02-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-03 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-03-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-04 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-04-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-05 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-05-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-06 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-06-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-07 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-07-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-08 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-08-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-09 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-09-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-10 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-10-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-11 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-11-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-12 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-12-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-13 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-13-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-14 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-14-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-15 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-15-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-16 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-16-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-17 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-17-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-18 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-18-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-19 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-19-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-20 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-20-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-21 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-21-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-22 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-22-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-23 7 bit OUT FALSE hm2_7i94.0.7i64.0.3.input-23-not 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-00 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-01 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-02 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-03 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-04 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-05 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-06 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-07 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-08 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-09 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-10 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-11 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-12 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-13 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-14 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-15 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-16 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-17 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-18 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-19 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-20 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-21 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-22 7 bit IN FALSE hm2_7i94.0.7i64.0.3.output-23 7 float OUT 0 hm2_7i94.0.7i64.0.6.analog0 7 float OUT 0 hm2_7i94.0.7i64.0.6.analog1 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-00 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-00-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-01 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-01-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-02 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-02-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-03 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-03-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-04 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-04-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-05 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-05-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-06 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-06-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-07 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-07-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-08 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-08-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-09 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-09-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-10 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-10-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-11 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-11-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-12 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-12-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-13 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-13-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-14 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-14-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-15 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-15-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-16 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-16-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-17 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-17-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-18 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-18-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-19 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-19-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-20 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-20-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-21 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-21-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-22 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-22-not 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-23 7 bit OUT FALSE hm2_7i94.0.7i64.0.6.input-23-not 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-00 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-01 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-02 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-03 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-04 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-05 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-06 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-07 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-08 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-09 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-10 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-11 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-12 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-13 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-14 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-15 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-16 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-17 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-18 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-19 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-20 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-21 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-22 7 bit IN FALSE hm2_7i94.0.7i64.0.6.output-23 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-00 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-00-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-01 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-01-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-02 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-02-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-03 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-03-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-04 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-04-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-05 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-05-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-06 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-06-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-07 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-07-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-08 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-08-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-09 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-09-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-10 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-10-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-11 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-11-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-12 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-12-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-13 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-13-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-14 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-14-not 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-15 7 bit OUT FALSE hm2_7i94.0.7i66.0.4.input-15-not 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-00 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-01 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-02 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-03 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-04 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-05 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-06 7 bit IN FALSE hm2_7i94.0.7i66.0.4.output-07 7 float OUT 0 hm2_7i94.0.7i66.0.5.fieldvoltage 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-00 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-01 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-02 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-03 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-04 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-05 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-06 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-07 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-08 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-09 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-10 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-11 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-12 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-13 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-14 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-15 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-16 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-17 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-18 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-19 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-20 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-21 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-22 7 bit IN FALSE hm2_7i94.0.7i66.0.5.output-23 7 float IN 100 hm2_7i94.0.dpll.01.timer-us 7 float IN 100 hm2_7i94.0.dpll.02.timer-us 7 float IN 100 hm2_7i94.0.dpll.03.timer-us 7 float IN 100 hm2_7i94.0.dpll.04.timer-us 7 float IN -1 hm2_7i94.0.dpll.base-freq-khz 7 u32 OUT 0x00000000 hm2_7i94.0.dpll.ddsize 7 float OUT 0 hm2_7i94.0.dpll.phase-error-us 7 u32 IN 0x00400000 hm2_7i94.0.dpll.plimit 7 u32 OUT 0x00000001 hm2_7i94.0.dpll.prescale 7 u32 IN 0x000007D0 hm2_7i94.0.dpll.time-const 7 s32 OUT 0 hm2_7i94.0.encoder.00.count 7 s32 OUT 0 hm2_7i94.0.encoder.00.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.00.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.00.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.00.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.00.input-index 7 bit IN FALSE hm2_7i94.0.encoder.00.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.00.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.00.position 7 float OUT 0 hm2_7i94.0.encoder.00.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.00.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.00.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.00.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.00.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.00.reset 7 float OUT 0 hm2_7i94.0.encoder.00.velocity 7 float OUT 0 hm2_7i94.0.encoder.00.velocity-rpm 7 s32 OUT 0 hm2_7i94.0.encoder.01.count 7 s32 OUT 0 hm2_7i94.0.encoder.01.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.01.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.01.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.01.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.01.input-index 7 bit IN FALSE hm2_7i94.0.encoder.01.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.01.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.01.position 7 float OUT 0 hm2_7i94.0.encoder.01.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.01.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.01.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.01.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.01.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.01.reset 7 float OUT 0 hm2_7i94.0.encoder.01.velocity 7 float OUT 0 hm2_7i94.0.encoder.01.velocity-rpm 7 s32 OUT 0 hm2_7i94.0.encoder.02.count 7 s32 OUT 0 hm2_7i94.0.encoder.02.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.02.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.02.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.02.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.02.input-index 7 bit IN FALSE hm2_7i94.0.encoder.02.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.02.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.02.position 7 float OUT 0 hm2_7i94.0.encoder.02.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.02.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.02.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.02.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.02.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.02.reset 7 float OUT 0 hm2_7i94.0.encoder.02.velocity 7 float OUT 0 hm2_7i94.0.encoder.02.velocity-rpm 7 s32 OUT 0 hm2_7i94.0.encoder.03.count 7 s32 OUT 0 hm2_7i94.0.encoder.03.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.03.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.03.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.03.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.03.input-index 7 bit IN FALSE hm2_7i94.0.encoder.03.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.03.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.03.position 7 float OUT 0 hm2_7i94.0.encoder.03.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.03.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.03.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.03.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.03.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.03.reset 7 float OUT 0 hm2_7i94.0.encoder.03.velocity 7 float OUT 0 hm2_7i94.0.encoder.03.velocity-rpm 7 s32 OUT 0 hm2_7i94.0.encoder.04.count 7 s32 OUT 0 hm2_7i94.0.encoder.04.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.04.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.04.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.04.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.04.input-index 7 bit IN FALSE hm2_7i94.0.encoder.04.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.04.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.04.position 7 float OUT 0 hm2_7i94.0.encoder.04.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.04.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.04.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.04.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.04.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.04.reset 7 float OUT 0 hm2_7i94.0.encoder.04.velocity 7 float OUT 0 hm2_7i94.0.encoder.04.velocity-rpm 7 s32 OUT 0 hm2_7i94.0.encoder.05.count 7 s32 OUT 0 hm2_7i94.0.encoder.05.count-latched 7 bit I/O FALSE hm2_7i94.0.encoder.05.index-enable 7 bit OUT TRUE hm2_7i94.0.encoder.05.input-a 7 bit OUT TRUE hm2_7i94.0.encoder.05.input-b 7 bit OUT FALSE hm2_7i94.0.encoder.05.input-index 7 bit IN FALSE hm2_7i94.0.encoder.05.latch-enable 7 bit IN FALSE hm2_7i94.0.encoder.05.latch-polarity 7 float OUT 0 hm2_7i94.0.encoder.05.position 7 float OUT 0 hm2_7i94.0.encoder.05.position-latched 7 bit OUT FALSE hm2_7i94.0.encoder.05.quad-error 7 bit IN FALSE hm2_7i94.0.encoder.05.quad-error-enable 7 s32 OUT 0 hm2_7i94.0.encoder.05.rawcounts 7 s32 OUT 0 hm2_7i94.0.encoder.05.rawlatch 7 bit IN FALSE hm2_7i94.0.encoder.05.reset 7 float OUT 0 hm2_7i94.0.encoder.05.velocity 7 float OUT 0 hm2_7i94.0.encoder.05.velocity-rpm 7 bit IN FALSE hm2_7i94.0.encoder.hires-timestamp 7 u32 IN 0x007F2815 hm2_7i94.0.encoder.muxed-sample-frequency 7 s32 IN -1 hm2_7i94.0.encoder.timer-number 7 bit OUT TRUE hm2_7i94.0.gpio.000.in 7 bit OUT FALSE hm2_7i94.0.gpio.000.in_not 7 bit IN FALSE hm2_7i94.0.gpio.000.out 7 bit OUT TRUE hm2_7i94.0.gpio.001.in 7 bit OUT FALSE hm2_7i94.0.gpio.001.in_not 7 bit IN FALSE hm2_7i94.0.gpio.001.out 7 bit OUT TRUE hm2_7i94.0.gpio.002.in 7 bit OUT FALSE hm2_7i94.0.gpio.002.in_not 7 bit IN FALSE hm2_7i94.0.gpio.002.out 7 bit OUT TRUE hm2_7i94.0.gpio.003.in 7 bit OUT FALSE hm2_7i94.0.gpio.003.in_not 7 bit IN FALSE hm2_7i94.0.gpio.003.out 7 bit OUT TRUE hm2_7i94.0.gpio.004.in 7 bit OUT FALSE hm2_7i94.0.gpio.004.in_not 7 bit IN FALSE hm2_7i94.0.gpio.004.out 7 bit OUT TRUE hm2_7i94.0.gpio.005.in 7 bit OUT FALSE hm2_7i94.0.gpio.005.in_not 7 bit IN FALSE hm2_7i94.0.gpio.005.out 7 bit OUT TRUE hm2_7i94.0.gpio.006.in 7 bit OUT FALSE hm2_7i94.0.gpio.006.in_not 7 bit IN FALSE hm2_7i94.0.gpio.006.out 7 bit OUT TRUE hm2_7i94.0.gpio.007.in 7 bit OUT FALSE hm2_7i94.0.gpio.007.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.008.in 7 bit OUT FALSE hm2_7i94.0.gpio.008.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.009.in 7 bit OUT FALSE hm2_7i94.0.gpio.009.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.010.in 7 bit OUT FALSE hm2_7i94.0.gpio.010.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.011.in 7 bit OUT FALSE hm2_7i94.0.gpio.011.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.012.in 7 bit OUT FALSE hm2_7i94.0.gpio.012.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.013.in 7 bit OUT FALSE hm2_7i94.0.gpio.013.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.014.in 7 bit OUT FALSE hm2_7i94.0.gpio.014.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.015.in 7 bit OUT FALSE hm2_7i94.0.gpio.015.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.016.in 7 bit OUT FALSE hm2_7i94.0.gpio.016.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.017.in 7 bit OUT FALSE hm2_7i94.0.gpio.017.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.018.in 7 bit OUT FALSE hm2_7i94.0.gpio.018.in_not 7 bit OUT FALSE hm2_7i94.0.gpio.019.in 7 bit OUT TRUE hm2_7i94.0.gpio.019.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.020.in 7 bit OUT FALSE hm2_7i94.0.gpio.020.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.021.in 7 bit OUT FALSE hm2_7i94.0.gpio.021.in_not 7 bit OUT FALSE hm2_7i94.0.gpio.022.in 7 bit OUT TRUE hm2_7i94.0.gpio.022.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.023.in 7 bit OUT FALSE hm2_7i94.0.gpio.023.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.024.in 7 bit OUT FALSE hm2_7i94.0.gpio.024.in_not 7 bit OUT FALSE hm2_7i94.0.gpio.025.in 7 bit OUT TRUE hm2_7i94.0.gpio.025.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.026.in 7 bit OUT FALSE hm2_7i94.0.gpio.026.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.027.in 7 bit OUT FALSE hm2_7i94.0.gpio.027.in_not 7 bit OUT FALSE hm2_7i94.0.gpio.028.in 7 bit OUT TRUE hm2_7i94.0.gpio.028.in_not 7 bit OUT TRUE hm2_7i94.0.gpio.029.in 7 bit OUT FALSE hm2_7i94.0.gpio.029.in_not 7 bit IN FALSE hm2_7i94.0.gpio.029.out 7 bit OUT FALSE hm2_7i94.0.gpio.030.in 7 bit OUT TRUE hm2_7i94.0.gpio.030.in_not 7 bit IN FALSE hm2_7i94.0.gpio.030.out 7 bit OUT FALSE hm2_7i94.0.gpio.031.in 7 bit OUT TRUE hm2_7i94.0.gpio.031.in_not 7 bit IN FALSE hm2_7i94.0.gpio.031.out 7 bit OUT TRUE hm2_7i94.0.gpio.032.in 7 bit OUT FALSE hm2_7i94.0.gpio.032.in_not 7 bit IN FALSE hm2_7i94.0.gpio.032.out 7 bit OUT TRUE hm2_7i94.0.gpio.033.in 7 bit OUT FALSE hm2_7i94.0.gpio.033.in_not 7 bit IN FALSE hm2_7i94.0.gpio.033.out 7 bit OUT FALSE hm2_7i94.0.gpio.034.in 7 bit OUT TRUE hm2_7i94.0.gpio.034.in_not 7 bit IN FALSE hm2_7i94.0.gpio.034.out 7 bit OUT TRUE hm2_7i94.0.gpio.035.in 7 bit OUT FALSE hm2_7i94.0.gpio.035.in_not 7 bit IN FALSE hm2_7i94.0.gpio.035.out 7 bit OUT FALSE hm2_7i94.0.gpio.036.in 7 bit OUT TRUE hm2_7i94.0.gpio.036.in_not 7 bit IN FALSE hm2_7i94.0.gpio.036.out 7 bit OUT FALSE hm2_7i94.0.gpio.037.in 7 bit OUT TRUE hm2_7i94.0.gpio.037.in_not 7 bit IN FALSE hm2_7i94.0.gpio.037.out 7 bit OUT TRUE hm2_7i94.0.gpio.038.in 7 bit OUT FALSE hm2_7i94.0.gpio.038.in_not 7 bit IN FALSE hm2_7i94.0.gpio.038.out 7 bit OUT FALSE hm2_7i94.0.gpio.039.in 7 bit OUT TRUE hm2_7i94.0.gpio.039.in_not 7 bit IN FALSE hm2_7i94.0.gpio.039.out 7 bit OUT FALSE hm2_7i94.0.gpio.040.in 7 bit OUT TRUE hm2_7i94.0.gpio.040.in_not 7 bit IN FALSE hm2_7i94.0.gpio.040.out 7 bit OUT FALSE hm2_7i94.0.gpio.041.in 7 bit OUT TRUE hm2_7i94.0.gpio.041.in_not 7 bit IN FALSE hm2_7i94.0.gpio.041.out 7 bit IN FALSE hm2_7i94.0.led.CR01 7 bit IN FALSE hm2_7i94.0.led.CR02 7 bit IN FALSE hm2_7i94.0.led.CR03 7 bit IN FALSE hm2_7i94.0.led.CR04 7 bit OUT FALSE hm2_7i94.0.packet-error 7 bit OUT FALSE hm2_7i94.0.packet-error-exceeded 7 s32 OUT 0 hm2_7i94.0.packet-error-level 7 s32 OUT 0 hm2_7i94.0.read-request.time 7 s32 OUT 0 hm2_7i94.0.read.time 7 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.fault-count 7 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state 7 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state2 7 u32 OUT 0x00000000 hm2_7i94.0.sserial.port-0.port_state3 7 bit IN TRUE hm2_7i94.0.sserial.port-0.run 7 bit I/O FALSE hm2_7i94.0.watchdog.has_bit 7 s32 OUT 0 hm2_7i94.0.write.time Pin Aliases: Alias Original Name Signals: Type Value Name (linked to) Parameters: Owner Type Dir Value Name 7 float RW 3.3 hm2_7i94.0.7i64.0.3.analog0-scalemax 7 float RW 3.3 hm2_7i94.0.7i64.0.3.analog1-scalemax 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-00-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-01-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-02-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-03-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-04-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-05-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-06-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-07-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-08-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-09-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-10-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-11-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-12-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-13-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-14-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-15-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-16-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-17-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-18-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-19-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-20-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-21-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-22-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.3.output-23-invert 7 float RW 3.3 hm2_7i94.0.7i64.0.6.analog0-scalemax 7 float RW 3.3 hm2_7i94.0.7i64.0.6.analog1-scalemax 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-00-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-01-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-02-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-03-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-04-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-05-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-06-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-07-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-08-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-09-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-10-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-11-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-12-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-13-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-14-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-15-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-16-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-17-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-18-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-19-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-20-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-21-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-22-invert 7 bit RW FALSE hm2_7i94.0.7i64.0.6.output-23-invert 7 u32 RO 0x00000000 hm2_7i94.0.7i66.0.4.analog0 7 u32 RO 0x00000000 hm2_7i94.0.7i66.0.4.analog1 7 u32 RO 0x00000000 hm2_7i94.0.7i66.0.4.analog2 7 u32 RO 0x00000000 hm2_7i94.0.7i66.0.4.analog3 7 u32 RO 0x76543210 hm2_7i94.0.7i66.0.4.biggercookie 7 u32 RO 0x0000A580 hm2_7i94.0.7i66.0.4.fieldvoltage 7 u32 RO 0x14000071 hm2_7i94.0.7i66.0.4.nvunitnumber 7 u32 RW 0x00000032 hm2_7i94.0.7i66.0.4.nvwatchdogtimeout 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-00-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-01-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-02-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-03-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-04-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-05-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-06-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.4.output-07-invert 7 u32 RO 0x0000000E hm2_7i94.0.7i66.0.4.swrevision 7 u32 RO 0x76543210 hm2_7i94.0.7i66.0.5.biggercookie 7 float RW 36.3 hm2_7i94.0.7i66.0.5.fieldvoltage-scalemax 7 u32 RO 0x1500006C hm2_7i94.0.7i66.0.5.nvunitnumber 7 u32 RW 0x00000032 hm2_7i94.0.7i66.0.5.nvwatchdogtimeout 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-00-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-01-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-02-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-03-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-04-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-05-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-06-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-07-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-08-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-09-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-10-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-11-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-12-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-13-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-14-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-15-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-16-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-17-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-18-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-19-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-20-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-21-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-22-invert 7 bit RW FALSE hm2_7i94.0.7i66.0.5.output-23-invert 7 u32 RO 0x0000000E hm2_7i94.0.7i66.0.5.swrevision 7 bit RW FALSE hm2_7i94.0.encoder.00.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.00.filter 7 bit RW FALSE hm2_7i94.0.encoder.00.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.00.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.00.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.00.scale 7 bit RW FALSE hm2_7i94.0.encoder.00.sel0.invert_output 7 bit RW FALSE hm2_7i94.0.encoder.00.sel0.is_opendrain 7 float RW 0.5 hm2_7i94.0.encoder.00.vel-timeout 7 bit RW FALSE hm2_7i94.0.encoder.01.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.01.filter 7 bit RW FALSE hm2_7i94.0.encoder.01.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.01.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.01.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.01.scale 7 float RW 0.5 hm2_7i94.0.encoder.01.vel-timeout 7 bit RW FALSE hm2_7i94.0.encoder.02.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.02.filter 7 bit RW FALSE hm2_7i94.0.encoder.02.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.02.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.02.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.02.scale 7 float RW 0.5 hm2_7i94.0.encoder.02.vel-timeout 7 bit RW FALSE hm2_7i94.0.encoder.03.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.03.filter 7 bit RW FALSE hm2_7i94.0.encoder.03.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.03.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.03.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.03.scale 7 float RW 0.5 hm2_7i94.0.encoder.03.vel-timeout 7 bit RW FALSE hm2_7i94.0.encoder.04.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.04.filter 7 bit RW FALSE hm2_7i94.0.encoder.04.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.04.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.04.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.04.scale 7 float RW 0.5 hm2_7i94.0.encoder.04.vel-timeout 7 bit RW FALSE hm2_7i94.0.encoder.05.counter-mode 7 bit RW TRUE hm2_7i94.0.encoder.05.filter 7 bit RW FALSE hm2_7i94.0.encoder.05.index-invert 7 bit RW FALSE hm2_7i94.0.encoder.05.index-mask 7 bit RW FALSE hm2_7i94.0.encoder.05.index-mask-invert 7 float RW 1 hm2_7i94.0.encoder.05.scale 7 float RW 0.5 hm2_7i94.0.encoder.05.vel-timeout 7 bit RW FALSE hm2_7i94.0.gpio.000.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.000.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.000.is_output 7 bit RW FALSE hm2_7i94.0.gpio.001.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.001.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.001.is_output 7 bit RW FALSE hm2_7i94.0.gpio.002.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.002.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.002.is_output 7 bit RW FALSE hm2_7i94.0.gpio.003.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.003.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.003.is_output 7 bit RW FALSE hm2_7i94.0.gpio.004.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.004.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.004.is_output 7 bit RW FALSE hm2_7i94.0.gpio.005.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.005.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.005.is_output 7 bit RW FALSE hm2_7i94.0.gpio.006.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.006.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.006.is_output 7 bit RW FALSE hm2_7i94.0.gpio.029.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.029.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.029.is_output 7 bit RW FALSE hm2_7i94.0.gpio.030.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.030.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.030.is_output 7 bit RW FALSE hm2_7i94.0.gpio.031.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.031.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.031.is_output 7 bit RW FALSE hm2_7i94.0.gpio.032.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.032.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.032.is_output 7 bit RW FALSE hm2_7i94.0.gpio.033.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.033.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.033.is_output 7 bit RW FALSE hm2_7i94.0.gpio.034.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.034.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.034.is_output 7 bit RW FALSE hm2_7i94.0.gpio.035.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.035.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.035.is_output 7 bit RW FALSE hm2_7i94.0.gpio.036.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.036.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.036.is_output 7 bit RW FALSE hm2_7i94.0.gpio.037.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.037.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.037.is_output 7 bit RW FALSE hm2_7i94.0.gpio.038.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.038.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.038.is_output 7 bit RW FALSE hm2_7i94.0.gpio.039.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.039.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.039.is_output 7 bit RW FALSE hm2_7i94.0.gpio.040.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.040.is_opendrain 7 bit RW FALSE hm2_7i94.0.gpio.040.is_output 7 bit RW FALSE hm2_7i94.0.gpio.041.invert_output 7 bit RW FALSE hm2_7i94.0.gpio.041.is_opendrain 7 bit RW TRUE hm2_7i94.0.gpio.041.is_output 7 bit RW FALSE hm2_7i94.0.io_error 7 s32 RO 1 hm2_7i94.0.packet-error-decrement 7 s32 RW 2 hm2_7i94.0.packet-error-increment 7 s32 RW 10 hm2_7i94.0.packet-error-limit 7 s32 RW 80 hm2_7i94.0.packet-read-timeout 7 s32 RW 0 hm2_7i94.0.read-request.tmax 7 bit RO FALSE hm2_7i94.0.read-request.tmax-increased 7 s32 RW 0 hm2_7i94.0.read.tmax 7 bit RO FALSE hm2_7i94.0.read.tmax-increased 7 bit RW FALSE hm2_7i94.0.sserial.00.tx3.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.tx3.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.tx4.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.tx4.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.tx5.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.tx5.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.tx6.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.tx6.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.txen3.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.txen3.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.txen4.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.txen4.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.txen5.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.txen5.is_opendrain 7 bit RW FALSE hm2_7i94.0.sserial.00.txen6.invert_output 7 bit RW FALSE hm2_7i94.0.sserial.00.txen6.is_opendrain 7 u32 RW 0x00000001 hm2_7i94.0.sserial.port-0.fault-dec 7 u32 RW 0x0000000A hm2_7i94.0.sserial.port-0.fault-inc 7 u32 RW 0x000000C8 hm2_7i94.0.sserial.port-0.fault-lim 7 u32 RW 0x004C4B40 hm2_7i94.0.watchdog.timeout_ns 7 s32 RW 0 hm2_7i94.0.write.tmax 7 bit RO FALSE hm2_7i94.0.write.tmax-increased Parameter Aliases: Alias Original Name hm2_7i94.0.encoder.00.sel0.invert_output hm2_7i94.0.gpio.007.invert_output hm2_7i94.0.encoder.00.sel0.is_opendrain hm2_7i94.0.gpio.007.is_opendrain hm2_7i94.0.sserial.00.tx3.invert_output hm2_7i94.0.gpio.018.invert_output hm2_7i94.0.sserial.00.tx3.is_opendrain hm2_7i94.0.gpio.018.is_opendrain hm2_7i94.0.sserial.00.tx4.invert_output hm2_7i94.0.gpio.021.invert_output hm2_7i94.0.sserial.00.tx4.is_opendrain hm2_7i94.0.gpio.021.is_opendrain hm2_7i94.0.sserial.00.tx5.invert_output hm2_7i94.0.gpio.024.invert_output hm2_7i94.0.sserial.00.tx5.is_opendrain hm2_7i94.0.gpio.024.is_opendrain hm2_7i94.0.sserial.00.tx6.invert_output hm2_7i94.0.gpio.027.invert_output hm2_7i94.0.sserial.00.tx6.is_opendrain hm2_7i94.0.gpio.027.is_opendrain hm2_7i94.0.sserial.00.txen3.invert_output hm2_7i94.0.gpio.019.invert_output hm2_7i94.0.sserial.00.txen3.is_opendrain hm2_7i94.0.gpio.019.is_opendrain hm2_7i94.0.sserial.00.txen4.invert_output hm2_7i94.0.gpio.022.invert_output hm2_7i94.0.sserial.00.txen4.is_opendrain hm2_7i94.0.gpio.022.is_opendrain hm2_7i94.0.sserial.00.txen5.invert_output hm2_7i94.0.gpio.025.invert_output hm2_7i94.0.sserial.00.txen5.is_opendrain hm2_7i94.0.gpio.025.is_opendrain hm2_7i94.0.sserial.00.txen6.invert_output hm2_7i94.0.gpio.028.invert_output hm2_7i94.0.sserial.00.txen6.is_opendrain hm2_7i94.0.gpio.028.is_opendrain Exported Functions: Owner CodeAddr Arg FP Users Name 00007 7f75dd6a88a5 55e71794cb00 YES 0 hm2_7i94.0.read 00007 7f75dd6a8831 55e71794cb00 YES 0 hm2_7i94.0.read-request 00007 7f75dd6a8701 55e71794cb00 YES 0 hm2_7i94.0.write Realtime Threads: Period FP Name ( Time, Max-Time ) hm2: loading Mesa HostMot2 driver version 0.15 hm2_eth: loading Mesa AnyIO HostMot2 ethernet driver version 0.2 hm2_eth: 192.168.1.121: INFO: Hardware address (MAC): 00:60:1b:14:80:18 hm2_eth: discovered 7I94 hm2/hm2_7i94.0: Low Level init 0.15 hm2/hm2_7i94.0: Smart Serial Firmware Version 43 Board hm2_7i94.0.7i66.0.4 Hardware Mode 0 = standard Board hm2_7i94.0.7i66.0.4 Software Mode 0 = input_output Board hm2_7i94.0.7i66.0.4 Software Mode 1 = input_analog_output Board hm2_7i94.0.7i66.0.4 Software Mode 2 = input_analog_fieldvoltage_output Board hm2_7i94.0.7i66.0.5 Hardware Mode 0 = standard Board hm2_7i94.0.7i66.0.5 Software Mode 0 = output_fieldvoltage hm2/hm2_7i94.0: 42 I/O Pins used: hm2/hm2_7i94.0: IO Pin 000 (P2-01): IOPort hm2/hm2_7i94.0: IO Pin 001 (P2-02): IOPort hm2/hm2_7i94.0: IO Pin 002 (P2-03): IOPort hm2/hm2_7i94.0: IO Pin 003 (P2-04): IOPort hm2/hm2_7i94.0: IO Pin 004 (P2-05): IOPort hm2/hm2_7i94.0: IO Pin 005 (P2-06): IOPort hm2/hm2_7i94.0: IO Pin 006 (P2-07): IOPort hm2/hm2_7i94.0: IO Pin 007 (P2-08): Muxed Encoder Select #0, pin Mux Select 0 (Output) hm2/hm2_7i94.0: IO Pin 008 (P2-09): Muxed Encoder #0, pin Muxed A (Input) hm2/hm2_7i94.0: IO Pin 009 (P2-11): Muxed Encoder #0, pin Muxed B (Input) hm2/hm2_7i94.0: IO Pin 010 (P2-13): Muxed Encoder #0, pin Muxed Index (Input) hm2/hm2_7i94.0: IO Pin 011 (P2-15): Muxed Encoder #1, pin Muxed A (Input) hm2/hm2_7i94.0: IO Pin 012 (P2-17): Muxed Encoder #1, pin Muxed B (Input) hm2/hm2_7i94.0: IO Pin 013 (P2-19): Muxed Encoder #1, pin Muxed Index (Input) hm2/hm2_7i94.0: IO Pin 014 (P2-21): Muxed Encoder #2, pin Muxed A (Input) hm2/hm2_7i94.0: IO Pin 015 (P2-23): Muxed Encoder #2, pin Muxed B (Input) hm2/hm2_7i94.0: IO Pin 016 (P2-25): Muxed Encoder #2, pin Muxed Index (Input) hm2/hm2_7i94.0: IO Pin 017 (J6-RX0): Smart Serial Interface #0, pin rx3 (Input) hm2/hm2_7i94.0: IO Pin 018 (J6-TX0): Smart Serial Interface #0, pin tx3 (Output) hm2/hm2_7i94.0: IO Pin 019 (J6-TXEN0): Smart Serial Interface #0, pin txen3 (Output) hm2/hm2_7i94.0: IO Pin 020 (J7-RX1): Smart Serial Interface #0, pin rx4 (Input) hm2/hm2_7i94.0: IO Pin 021 (J7-TX1): Smart Serial Interface #0, pin tx4 (Output) hm2/hm2_7i94.0: IO Pin 022 (J7-TXEN1): Smart Serial Interface #0, pin txen4 (Output) hm2/hm2_7i94.0: IO Pin 023 (J8-RX2): Smart Serial Interface #0, pin rx5 (Input) hm2/hm2_7i94.0: IO Pin 024 (J8-TX2): Smart Serial Interface #0, pin tx5 (Output) hm2/hm2_7i94.0: IO Pin 025 (J8-TXEN2): Smart Serial Interface #0, pin txen5 (Output) hm2/hm2_7i94.0: IO Pin 026 (J9-RX3): Smart Serial Interface #0, pin rx6 (Input) hm2/hm2_7i94.0: IO Pin 027 (J9-TX3): Smart Serial Interface #0, pin tx6 (Output) hm2/hm2_7i94.0: IO Pin 028 (J9-TXEN3): Smart Serial Interface #0, pin txen6 (Output) hm2/hm2_7i94.0: IO Pin 029 (J4-RX4): IOPort hm2/hm2_7i94.0: IO Pin 030 (J4-TX4): IOPort hm2/hm2_7i94.0: IO Pin 031 (J4-TXEN4): IOPort hm2/hm2_7i94.0: IO Pin 032 (J3-RX5): IOPort hm2/hm2_7i94.0: IO Pin 033 (J3-TX5): IOPort hm2/hm2_7i94.0: IO Pin 034 (J3-TXEN5): IOPort hm2/hm2_7i94.0: IO Pin 035 (J2-RX6): IOPort hm2/hm2_7i94.0: IO Pin 036 (J2-TX6): IOPort hm2/hm2_7i94.0: IO Pin 037 (J2-TXEN6): IOPort hm2/hm2_7i94.0: IO Pin 038 (J1-RX7): IOPort hm2/hm2_7i94.0: IO Pin 039 (J1-TX7): IOPort hm2/hm2_7i94.0: IO Pin 040 (J1-TXEN7): IOPort hm2/hm2_7i94.0: IO Pin 041 (P2-/IOENA): IOPort hm2/hm2_7i94.0: registered hm2_eth: in hm2_eth_reset hm2_eth: HostMot2 ethernet driver unloaded hm2: unloading