constant ModuleID : ModuleIDType :=( (HM2DPLLTag, x"00", ClockLowTag, x"01", HM2DPLLBaseRateAddr&PadT, HM2DPLLNumRegs, x"00", HM2DPLLMPBitMask), (WatchDogTag, x"00", ClockLowTag, x"01", WatchDogTimeAddr&PadT, WatchDogNumRegs, x"00", WatchDogMPBitMask), (IOPortTag, x"00", ClockLowTag, x"02", PortAddr&PadT, IOPortNumRegs, x"00", IOPortMPBitMask), (StepGenTag, x"02", ClockLowTag, x"06", StepGenRateAddr&PadT, StepGenNumRegs, x"00", StepGenMPBitMask), (PWMTag, x"00", ClockHighTag, x"01", PWMValAddr&PadT, PWMNumRegs, x"00", PWMMPBitMask), (LEDTag, x"00", ClockLowTag, x"01", LEDAddr&PadT, LEDNumRegs, x"00", LEDMPBitMask), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000"), (NullTag, x"00", NullTag, x"00", NullAddr&PadT, x"00", x"00", x"00000000") ); constant PinDesc : PinDescType :=( -- Base func sec unit sec func sec pin -- external DB25 IOPortTag & x"05" & StepGenTag & StepGenStepPin, -- I/O 00 PIN 1 6th driver step IOPortTag & x"04" & StepGenTag & StepGenStepPin, -- I/O 01 PIN 14 A Step IOPortTag & x"00" & StepGenTag & StepGenStepPin, -- I/O 02 PIN 2 X Step IOPortTag & x"00" & NullTag & NullPin, -- I/O 03 PIN 15 FF5 Input IOPortTag & x"00" & StepGenTag & StepGenDirPin, -- I/O 04 PIN 3 X Dir IOPortTag & x"04" & StepGenTag & StepGenStepPin, -- I/O 05 PIN 16 A Dir IOPortTag & x"01" & StepGenTag & StepGenStepPin, -- I/O 06 PIN 4 Y1 Step IOPortTag & x"05" & StepGenTag & StepGenDirPin, -- I/O 07 PIN 17 6th driver dir IOPortTag & x"01" & StepGenTag & StepGenDirPin, -- I/O 08 PIN 5 Y1 Dir IOPortTag & x"02" & StepGenTag & StepGenStepPin, -- I/O 09 PIN 6 Z Step IOPortTag & x"02" & StepGenTag & StepGenDirPin, -- I/O 10 PIN 7 Z Dir IOPortTag & x"03" & StepGenTag & StepGenStepPin, -- I/O 11 PIN 8 Y2 Step IOPortTag & x"03" & StepGenTag & StepGenDirPin, -- I/O 12 PIN 9 Y2 Dir IOPortTag & x"00" & NullTag & NullPin, -- I/O 13 PIN 10 FF1 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 14 PIN 11 FF2 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 15 PIN 12 FF3 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 16 PIN 13 FF4 Input -- 26 HDR -- IDC DB25 IOPortTag & x"00" & PWMTag & PWMAOutPin, -- I/O 17 PIN 1 PIN 1 Spindle PWM IOPortTag & x"00" & NullTag & NullPin, -- I/O 18 PIN 2 PIN 14 Spindle Relay Output IOPortTag & x"00" & NullTag & NullPin, -- I/O 19 PIN 3 PIN 2 X-- Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 20 PIN 4 PIN 15 E-Stop Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 21 PIN 5 PIN 3 A-- Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 22 PIN 6 PIN 16 Relay 2 Output IOPortTag & x"00" & NullTag & NullPin, -- I/O 23 PIN 7 PIN 4 Y1-- Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 24 PIN 8 PIN 17 Relay 1 Output IOPortTag & x"00" & NullTag & NullPin, -- I/O 25 PIN 9 PIN 5 Y++ Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 26 PIN 11 PIN 6 Z++ Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 27 PIN 13 PIN 7 Y2-- Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 28 PIN 15 PIN 8 Aux 2 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 29 PIN 17 PIN 9 Aux 1 (Probe) Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 30 PIN 19 PIN 10 FF6 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 31 PIN 21 PIN 11 FF7 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 32 PIN 23 PIN 12 FF8 Input IOPortTag & x"00" & NullTag & NullPin, -- I/O 33 PIN 25 PIN 13 VFD Error Input emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, -- added for 34 pin 5I25 emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, -- added for IDROM v3 emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin);