Component Pins: Owner Type Dir Value Name 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc0.count 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc0.index-enable 23 float OUT 0 hm2_7i76e.0.7i73.1.1.enc0.position 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc0.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc0.reset 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc1.count 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc1.index-enable 23 float OUT 0 hm2_7i76e.0.7i73.1.1.enc1.position 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc1.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc1.reset 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc2.count 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc2.index-enable 23 float OUT 0 hm2_7i76e.0.7i73.1.1.enc2.position 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc2.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc2.reset 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc3.count 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc3.index-enable 23 float OUT 0 hm2_7i76e.0.7i73.1.1.enc3.position 23 s32 OUT 0 hm2_7i76e.0.7i73.1.1.enc3.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i73.1.1.enc3.reset 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-00 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-00-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-01 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-01-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-02 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-02-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-03 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-03-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-04 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-04-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-05 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-05-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-06 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-06-not 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-07 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-07-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-08 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-08-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-09 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-09-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-10 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-10-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-11 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-11-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-12 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-12-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-13 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-13-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-14 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-14-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-15 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-15-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-16 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-16-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-17 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-17-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-18 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-18-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-19 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-19-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-20 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-20-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-21 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-21-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-22 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-22-not 23 bit OUT TRUE hm2_7i76e.0.7i73.1.1.input-23 23 bit OUT FALSE hm2_7i76e.0.7i73.1.1.input-23-not 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-00 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-01 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-02 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-03 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-04 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-05 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-06 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-07 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-08 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-09 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-10 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-11 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-12 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-13 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-14 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-15 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-16 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-17 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-18 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-19 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-20 23 bit IN FALSE hm2_7i76e.0.7i73.1.1.output-21 23 float OUT 0 hm2_7i76e.0.7i76.0.0.analogin0 23 float OUT 0 hm2_7i76e.0.7i76.0.0.analogin1 23 float OUT 0 hm2_7i76e.0.7i76.0.0.analogin2 23 float OUT 0 hm2_7i76e.0.7i76.0.0.analogin3 23 s32 OUT 0 hm2_7i76e.0.7i76.0.0.enc0.count 23 bit I/O FALSE hm2_7i76e.0.7i76.0.0.enc0.index-enable 23 float OUT 0 hm2_7i76e.0.7i76.0.0.enc0.position 23 s32 OUT 0 hm2_7i76e.0.7i76.0.0.enc0.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i76.0.0.enc0.reset 23 s32 OUT 0 hm2_7i76e.0.7i76.0.0.enc1.count 23 bit I/O FALSE hm2_7i76e.0.7i76.0.0.enc1.index-enable 23 float OUT 0 hm2_7i76e.0.7i76.0.0.enc1.position 23 s32 OUT 0 hm2_7i76e.0.7i76.0.0.enc1.rawcounts 23 bit I/O FALSE hm2_7i76e.0.7i76.0.0.enc1.reset 23 float OUT 17.15768 hm2_7i76e.0.7i76.0.0.fieldvoltage 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-00 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-00-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-01 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-01-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-02 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-02-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-03 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-03-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-04 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-04-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-05 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-05-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-06 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-06-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-07 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-07-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-08 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-08-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-09 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-09-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-10 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-10-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-11 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-11-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-12 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-12-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-13 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-13-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-14 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-14-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-15 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-15-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-16 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-16-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-17 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-17-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-18 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-18-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-19 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-19-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-20 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-20-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-21 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-21-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-22 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-22-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-23 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-23-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-24 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-24-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-25 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-25-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-26 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-26-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-27 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-27-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-28 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-28-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-29 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-29-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-30 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-30-not 23 bit OUT FALSE hm2_7i76e.0.7i76.0.0.input-31 23 bit OUT TRUE hm2_7i76e.0.7i76.0.0.input-31-not 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-00 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-01 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-02 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-03 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-04 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-05 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-06 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-07 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-08 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-09 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-10 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-11 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-12 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-13 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-14 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.output-15 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.spindir 23 bit IN FALSE hm2_7i76e.0.7i76.0.0.spinena 23 float IN 0 hm2_7i76e.0.7i76.0.0.spinout 23 float OUT 0.01 hm2_7i76e.0.7i87.1.0.analogin0 23 float OUT -0.01 hm2_7i76e.0.7i87.1.0.analogin1 23 float OUT -0.005 hm2_7i76e.0.7i87.1.0.analogin2 23 float OUT 0.005 hm2_7i76e.0.7i87.1.0.analogin3 23 float OUT -0.005 hm2_7i76e.0.7i87.1.0.analogin4 23 float OUT -0.005 hm2_7i76e.0.7i87.1.0.analogin5 23 float OUT 0.005 hm2_7i76e.0.7i87.1.0.analogin6 23 float OUT -0.005 hm2_7i76e.0.7i87.1.0.analogin7 23 bit IN FALSE hm2_7i76e.0.7i87.1.0.output-00 23 bit IN FALSE hm2_7i76e.0.7i87.1.0.output-01 23 bit IN FALSE hm2_7i76e.0.7i87.1.0.output-02 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-00 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-00-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-01 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-01-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-02 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-02-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-03 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-03-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-04 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-04-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-05 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-05-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-06 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-06-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-07 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-07-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-08 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-08-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-09 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-09-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-10 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-10-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-11 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-11-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-12 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-12-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-13 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-13-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-14 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-14-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-15 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-15-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-16 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-16-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-17 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-17-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-18 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-18-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-19 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-19-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-20 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-20-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-21 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-21-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-22 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-22-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p1-in-23 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p1-in-23-not 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-00 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-01 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-02 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-03 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-04 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-05 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-06 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-07 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-08 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-09 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-10 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-11 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-12 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-13 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-14 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-15 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-16 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-17 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-18 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-19 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-20 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-21 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-22 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p1-out-23 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-00 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-00-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-01 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-01-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-02 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-02-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-03 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-03-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-04 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-04-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-05 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-05-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-06 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-06-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-07 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-07-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-08 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-08-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-09 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-09-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-10 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-10-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-11 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-11-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-12 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-12-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-13 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-13-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-14 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-14-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-15 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-15-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-16 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-16-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-17 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-17-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-18 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-18-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-19 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-19-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-20 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-20-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-21 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-21-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-22 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-22-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p2-in-23 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p2-in-23-not 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-00 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-01 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-02 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-03 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-04 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-05 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-06 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-07 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-08 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-09 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-10 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-11 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-12 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-13 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-14 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-15 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-16 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-17 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-18 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-19 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-20 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-21 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-22 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p2-out-23 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-00 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-00-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-01 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-01-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-02 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-02-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-03 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-03-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-04 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-04-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-05 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-05-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-06 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-06-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-07 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-07-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-08 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-08-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-09 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-09-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-10 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-10-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-11 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-11-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-12 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-12-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-13 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-13-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-14 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-14-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-15 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-15-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-16 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-16-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-17 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-17-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-18 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-18-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-19 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-19-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-20 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-20-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-21 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-21-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-22 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-22-not 23 bit OUT FALSE hm2_7i76e.0.7i90.0.1.p3-in-23 23 bit OUT TRUE hm2_7i76e.0.7i90.0.1.p3-in-23-not 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-00 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-01 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-02 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-03 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-04 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-05 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-06 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-07 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-08 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-09 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-10 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-11 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-12 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-13 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-14 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-15 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-16 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-17 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-18 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-19 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-20 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-21 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-22 23 bit IN FALSE hm2_7i76e.0.7i90.0.1.p3-out-23 23 float IN -50 hm2_7i76e.0.dpll.01.timer-us 23 float IN 100 hm2_7i76e.0.dpll.02.timer-us 23 float IN 100 hm2_7i76e.0.dpll.03.timer-us 23 float IN 100 hm2_7i76e.0.dpll.04.timer-us 23 float IN 1 hm2_7i76e.0.dpll.base-freq-khz 23 u32 OUT 0x0000002A hm2_7i76e.0.dpll.ddsize 23 float OUT 14.55658 hm2_7i76e.0.dpll.phase-error-us 23 u32 IN 0x00400000 hm2_7i76e.0.dpll.plimit 23 u32 OUT 0x00000018 hm2_7i76e.0.dpll.prescale 23 u32 IN 0x000007D0 hm2_7i76e.0.dpll.time-const 23 s32 OUT 0 hm2_7i76e.0.encoder.00.count 23 s32 OUT 0 hm2_7i76e.0.encoder.00.count-latched 23 bit I/O FALSE hm2_7i76e.0.encoder.00.index-enable <=> spindle-index-enable 23 bit OUT TRUE hm2_7i76e.0.encoder.00.input-a 23 bit OUT TRUE hm2_7i76e.0.encoder.00.input-b 23 bit OUT FALSE hm2_7i76e.0.encoder.00.input-index 23 bit IN FALSE hm2_7i76e.0.encoder.00.latch-enable 23 bit IN FALSE hm2_7i76e.0.encoder.00.latch-polarity 23 float OUT 0 hm2_7i76e.0.encoder.00.position 23 float OUT 0 hm2_7i76e.0.encoder.00.position-latched 23 bit OUT FALSE hm2_7i76e.0.encoder.00.quad-error 23 bit IN FALSE hm2_7i76e.0.encoder.00.quad-error-enable 23 s32 OUT 0 hm2_7i76e.0.encoder.00.rawcounts 23 s32 OUT 0 hm2_7i76e.0.encoder.00.rawlatch 23 bit IN FALSE hm2_7i76e.0.encoder.00.reset 23 float OUT 0 hm2_7i76e.0.encoder.00.velocity 23 u32 IN 0x017D7840 hm2_7i76e.0.encoder.sample-frequency 23 bit OUT FALSE hm2_7i76e.0.gpio.000.in 23 bit OUT TRUE hm2_7i76e.0.gpio.000.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.001.in 23 bit OUT TRUE hm2_7i76e.0.gpio.001.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.002.in 23 bit OUT TRUE hm2_7i76e.0.gpio.002.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.003.in 23 bit OUT TRUE hm2_7i76e.0.gpio.003.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.004.in 23 bit OUT TRUE hm2_7i76e.0.gpio.004.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.005.in 23 bit OUT TRUE hm2_7i76e.0.gpio.005.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.006.in 23 bit OUT TRUE hm2_7i76e.0.gpio.006.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.007.in 23 bit OUT TRUE hm2_7i76e.0.gpio.007.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.008.in 23 bit OUT TRUE hm2_7i76e.0.gpio.008.in_not 23 bit OUT FALSE hm2_7i76e.0.gpio.009.in 23 bit OUT TRUE hm2_7i76e.0.gpio.009.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.010.in 23 bit OUT FALSE hm2_7i76e.0.gpio.010.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.011.in 23 bit OUT FALSE hm2_7i76e.0.gpio.011.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.012.in 23 bit OUT FALSE hm2_7i76e.0.gpio.012.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.013.in 23 bit OUT FALSE hm2_7i76e.0.gpio.013.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.014.in 23 bit OUT FALSE hm2_7i76e.0.gpio.014.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.015.in 23 bit OUT FALSE hm2_7i76e.0.gpio.015.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.016.in 23 bit OUT FALSE hm2_7i76e.0.gpio.016.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.017.in 23 bit OUT FALSE hm2_7i76e.0.gpio.017.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.018.in 23 bit OUT FALSE hm2_7i76e.0.gpio.018.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.019.in 23 bit OUT FALSE hm2_7i76e.0.gpio.019.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.019.out 23 bit OUT TRUE hm2_7i76e.0.gpio.020.in 23 bit OUT FALSE hm2_7i76e.0.gpio.020.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.020.out 23 bit OUT TRUE hm2_7i76e.0.gpio.021.in 23 bit OUT FALSE hm2_7i76e.0.gpio.021.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.022.in 23 bit OUT FALSE hm2_7i76e.0.gpio.022.in_not 23 bit OUT TRUE hm2_7i76e.0.gpio.023.in 23 bit OUT FALSE hm2_7i76e.0.gpio.023.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.023.out 23 bit OUT TRUE hm2_7i76e.0.gpio.024.in 23 bit OUT FALSE hm2_7i76e.0.gpio.024.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.024.out 23 bit OUT TRUE hm2_7i76e.0.gpio.025.in 23 bit OUT FALSE hm2_7i76e.0.gpio.025.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.025.out 23 bit OUT TRUE hm2_7i76e.0.gpio.026.in 23 bit OUT FALSE hm2_7i76e.0.gpio.026.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.026.out 23 bit OUT TRUE hm2_7i76e.0.gpio.027.in 23 bit OUT FALSE hm2_7i76e.0.gpio.027.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.027.out 23 bit OUT TRUE hm2_7i76e.0.gpio.028.in 23 bit OUT FALSE hm2_7i76e.0.gpio.028.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.028.out 23 bit OUT TRUE hm2_7i76e.0.gpio.029.in 23 bit OUT FALSE hm2_7i76e.0.gpio.029.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.029.out 23 bit OUT TRUE hm2_7i76e.0.gpio.030.in 23 bit OUT FALSE hm2_7i76e.0.gpio.030.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.030.out 23 bit OUT TRUE hm2_7i76e.0.gpio.031.in 23 bit OUT FALSE hm2_7i76e.0.gpio.031.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.031.out 23 bit OUT TRUE hm2_7i76e.0.gpio.032.in 23 bit OUT FALSE hm2_7i76e.0.gpio.032.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.032.out 23 bit OUT TRUE hm2_7i76e.0.gpio.033.in 23 bit OUT FALSE hm2_7i76e.0.gpio.033.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.033.out 23 bit OUT TRUE hm2_7i76e.0.gpio.034.in 23 bit OUT FALSE hm2_7i76e.0.gpio.034.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.034.out 23 bit OUT TRUE hm2_7i76e.0.gpio.035.in 23 bit OUT FALSE hm2_7i76e.0.gpio.035.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.035.out 23 bit OUT TRUE hm2_7i76e.0.gpio.036.in 23 bit OUT FALSE hm2_7i76e.0.gpio.036.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.036.out 23 bit OUT TRUE hm2_7i76e.0.gpio.037.in 23 bit OUT FALSE hm2_7i76e.0.gpio.037.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.037.out 23 bit OUT TRUE hm2_7i76e.0.gpio.038.in 23 bit OUT FALSE hm2_7i76e.0.gpio.038.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.038.out 23 bit OUT TRUE hm2_7i76e.0.gpio.039.in 23 bit OUT FALSE hm2_7i76e.0.gpio.039.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.039.out 23 bit OUT TRUE hm2_7i76e.0.gpio.040.in 23 bit OUT FALSE hm2_7i76e.0.gpio.040.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.040.out 23 bit OUT TRUE hm2_7i76e.0.gpio.041.in 23 bit OUT FALSE hm2_7i76e.0.gpio.041.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.041.out 23 bit OUT TRUE hm2_7i76e.0.gpio.042.in 23 bit OUT FALSE hm2_7i76e.0.gpio.042.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.042.out 23 bit OUT TRUE hm2_7i76e.0.gpio.043.in 23 bit OUT FALSE hm2_7i76e.0.gpio.043.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.043.out 23 bit OUT TRUE hm2_7i76e.0.gpio.044.in 23 bit OUT FALSE hm2_7i76e.0.gpio.044.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.044.out 23 bit OUT TRUE hm2_7i76e.0.gpio.045.in 23 bit OUT FALSE hm2_7i76e.0.gpio.045.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.045.out 23 bit OUT TRUE hm2_7i76e.0.gpio.046.in 23 bit OUT FALSE hm2_7i76e.0.gpio.046.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.046.out 23 bit OUT TRUE hm2_7i76e.0.gpio.047.in 23 bit OUT FALSE hm2_7i76e.0.gpio.047.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.047.out 23 bit OUT TRUE hm2_7i76e.0.gpio.048.in 23 bit OUT FALSE hm2_7i76e.0.gpio.048.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.048.out 23 bit OUT TRUE hm2_7i76e.0.gpio.049.in 23 bit OUT FALSE hm2_7i76e.0.gpio.049.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.049.out 23 bit OUT TRUE hm2_7i76e.0.gpio.050.in 23 bit OUT FALSE hm2_7i76e.0.gpio.050.in_not 23 bit IN FALSE hm2_7i76e.0.gpio.050.out 23 bit IN FALSE hm2_7i76e.0.led.CR01 23 bit IN FALSE hm2_7i76e.0.led.CR02 23 bit IN FALSE hm2_7i76e.0.led.CR03 23 bit IN FALSE hm2_7i76e.0.led.CR04 23 bit OUT FALSE hm2_7i76e.0.packet-error 23 bit OUT FALSE hm2_7i76e.0.packet-error-exceeded 23 s32 OUT 0 hm2_7i76e.0.packet-error-level 23 s32 OUT 0 hm2_7i76e.0.read-request.time 23 s32 OUT 455420 hm2_7i76e.0.read.time 23 u32 OUT 0x00000000 hm2_7i76e.0.sserial.port-0.fault-count 23 u32 OUT 0x00000001 hm2_7i76e.0.sserial.port-0.port_state 23 bit IN TRUE hm2_7i76e.0.sserial.port-0.run 23 u32 OUT 0x00000000 hm2_7i76e.0.sserial.port-1.fault-count 23 u32 OUT 0x00000001 hm2_7i76e.0.sserial.port-1.port_state 23 bit IN TRUE hm2_7i76e.0.sserial.port-1.run 23 bit IN TRUE hm2_7i76e.0.stepgen.00.control-type 23 s32 OUT 0 hm2_7i76e.0.stepgen.00.counts 23 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_err_at_match 23 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_ff_vel 23 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_s_to_match 23 s32 OUT 0 hm2_7i76e.0.stepgen.00.dbg_step_rate 23 float OUT 0 hm2_7i76e.0.stepgen.00.dbg_vel_error 23 bit IN FALSE hm2_7i76e.0.stepgen.00.enable <== emcmot.00.enable 23 float IN 0 hm2_7i76e.0.stepgen.00.position-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.00.position-fb ==> motor.00.pos-fb 23 float IN 0 hm2_7i76e.0.stepgen.00.velocity-cmd <== motor.00.command 23 float OUT 0 hm2_7i76e.0.stepgen.00.velocity-fb 23 bit IN TRUE hm2_7i76e.0.stepgen.01.control-type 23 s32 OUT 0 hm2_7i76e.0.stepgen.01.counts 23 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_err_at_match 23 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_ff_vel 23 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_s_to_match 23 s32 OUT 0 hm2_7i76e.0.stepgen.01.dbg_step_rate 23 float OUT 0 hm2_7i76e.0.stepgen.01.dbg_vel_error 23 bit IN FALSE hm2_7i76e.0.stepgen.01.enable <== emcmot.01.enable 23 float IN 0 hm2_7i76e.0.stepgen.01.position-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.01.position-fb ==> motor.01.pos-fb 23 float IN 0 hm2_7i76e.0.stepgen.01.velocity-cmd <== motor.01.command 23 float OUT 0 hm2_7i76e.0.stepgen.01.velocity-fb 23 bit IN TRUE hm2_7i76e.0.stepgen.02.control-type 23 s32 OUT 0 hm2_7i76e.0.stepgen.02.counts 23 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_err_at_match 23 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_ff_vel 23 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_s_to_match 23 s32 OUT 0 hm2_7i76e.0.stepgen.02.dbg_step_rate 23 float OUT 0 hm2_7i76e.0.stepgen.02.dbg_vel_error 23 bit IN FALSE hm2_7i76e.0.stepgen.02.enable <== emcmot.02.enable 23 float IN 0 hm2_7i76e.0.stepgen.02.position-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.02.position-fb ==> motor.02.pos-fb 23 float IN 0 hm2_7i76e.0.stepgen.02.velocity-cmd <== motor.02.command 23 float OUT 0 hm2_7i76e.0.stepgen.02.velocity-fb 23 bit IN FALSE hm2_7i76e.0.stepgen.03.control-type 23 s32 OUT 0 hm2_7i76e.0.stepgen.03.counts 23 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_err_at_match 23 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_ff_vel 23 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_s_to_match 23 s32 OUT 0 hm2_7i76e.0.stepgen.03.dbg_step_rate 23 float OUT 0 hm2_7i76e.0.stepgen.03.dbg_vel_error 23 bit IN FALSE hm2_7i76e.0.stepgen.03.enable 23 float IN 0 hm2_7i76e.0.stepgen.03.position-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.03.position-fb 23 float IN 0 hm2_7i76e.0.stepgen.03.velocity-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.03.velocity-fb 23 bit IN FALSE hm2_7i76e.0.stepgen.04.control-type 23 s32 OUT 0 hm2_7i76e.0.stepgen.04.counts 23 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_err_at_match 23 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_ff_vel 23 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_pos_minus_prev_cmd 23 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_s_to_match 23 s32 OUT 0 hm2_7i76e.0.stepgen.04.dbg_step_rate 23 float OUT 0 hm2_7i76e.0.stepgen.04.dbg_vel_error 23 bit IN FALSE hm2_7i76e.0.stepgen.04.enable 23 float IN 0 hm2_7i76e.0.stepgen.04.position-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.04.position-fb 23 float IN 0 hm2_7i76e.0.stepgen.04.velocity-cmd 23 float OUT 0 hm2_7i76e.0.stepgen.04.velocity-fb 23 s32 IN 1 hm2_7i76e.0.stepgen.timer-number 23 bit I/O FALSE hm2_7i76e.0.watchdog.has_bit 23 s32 OUT 17416 hm2_7i76e.0.write.time