Mesa 7i76e+7i77 encoder limitation

More
19 Jan 2024 05:57 #291093 by foxington
Hello folks,

I am facing the problem with loosing velocity value from Mesa 7i77 card which is plugged to P1 of Mesa 7i76e. I have tried each channel of board and each are behavior same same... Encoder is Heidenhain quadrate full TTL 5000 increments per rev means 4*5000 = 20000 in encoder resolution... When the spindle speed rised over 1500rpm(equals ((1500/60) * 20000) = 0,5MHz), velocity feedback in encoder component of 7i76e is disappearing and thats all.. I checked wiring and shielding the cables. Each cable have separate shielding connected to the ground....

I am think there should be problem with encoder limit frequency. I can not find any informations about this limitation in the any Mesa manual. I there any limitation setup in the mesa ?

thanks for any suggestions


Regards Slav

Please Log in or Create an account to join the conversation.

More
19 Jan 2024 12:04 #291108 by PCW
Did you try turning off the encoder digital filter?

setp hm2_7i76e.0.encoder.XX.filter false

(Where XX is the spindle encoder channel)

There's probably  a:

setp hm2_7i76e.0.encoder.XX.filter true

already in your hal file

This is described in the hostmot2 manual (in the encoder section)
The following user(s) said Thank You: foxington

Please Log in or Create an account to join the conversation.

More
19 Jan 2024 19:00 #291138 by foxington
thanks a lot, yes that I found, but I have not thinking about filtering of signal that can cause the missing speed problem...

What is value of digital filter please? It should be some Hz value there... I can not find in the component description filter true/false value, but it could blinked in my head for sure...

Thanks for your attention, we should to learn every day and every age...
 
Attachments:

Please Log in or Create an account to join the conversation.

More
19 Jan 2024 19:35 #291141 by PCW
The encoder filter setting is described in the hostmot2 manual page

man hostmot2

Actually there's always a digital filter applied but when the encoder filter is true,
the filter time constant is 15 encoder sample clocks but only 3 encoder sample
clocks when filter is false. You can also increase the encoder sample rate but
this is limited as the manual mentions.

Did setting the encoder filter false solve your issues?

Please Log in or Create an account to join the conversation.

More
19 Jan 2024 20:22 #291144 by foxington
yes it helped... I finally found the hostmod manual and I will have very nice night reading...

thanks for your help... Whole stuff working and now I am going to solve PID with gearchange component...

regards Slav
The following user(s) said Thank You: tommylight

Please Log in or Create an account to join the conversation.

Moderators: PCWjmelson
Time to create page: 0.076 seconds
Powered by Kunena Forum