Trying to build ssremote firmware for 7i90hd - now a success

More
03 Sep 2023 04:37 #279695 by cornholio
Opened the project, added ibound.vhd & oneshot.vhd, edited the TopSSremote to suit i90 card and picked 72 pin just io file.
Come time to build I get this error:
HDLCompiler:1566 - "/home/rmurphy/Mesa/7i90/7i90/configs/hostmot2/source/hostmot2/TopSSremote.vhd" Line 524: Expression has 12 elements ; formal addr expects 11

Tried with the EPP & SPI interfaces and they went ok.

Changing line 218 in TopSSRemote.vhd
signal iabus: std_logic_vector(11 downto 0);
signal iabus: std_logic_vector(10 downto 0); 

And line 491
processor: entity work.DumbAss8sqwsb
processor: entity work.DumbAss8sqws

Allows to to go all the way to building a bit file. Red is original code & green is the code that builds without error. Before I flash my device I just would like to know if I'm barking up the right tree. Once again I'm experimenting on a second Spartan 6 dev board and would like it talk to the other one running the 7c81 firmware.

Thanks
Rob
 

Please Log in or Create an account to join the conversation.

More
03 Sep 2023 14:52 - 03 Sep 2023 15:02 #279722 by PCW
That should be OK as long as you changed the UCF file to match your hardware

The iabus size issue is because the ROM size was increased to 4K for the 7IA0
(the 7I90ssremote ROM is onlt 2K) it should be fixed with some conditionals like:

   i90ssrrom: if (BoardNameHigh = BoardName7I90) generate
        i90ssr: entity work.ssremote_i90
       port map(
           addr => iabus(10 downto 0),
           clk  => procclk,    
           din  => x"0000",
           dout => idbus,
           we    => '0'
        );
    end generate;

   iA0ssrrom: if (BoardNameHigh = BoardName7IA0) generate
        ia0ssr: entity work.ssremote_ia0
       port map(
           addr => iabus,
           clk  => procclk,    
           din  => x"0000",
           dout => idbus,
           we    => '0'
        );
    end generate;
 
Last edit: 03 Sep 2023 15:02 by PCW.
The following user(s) said Thank You: tivoi

Please Log in or Create an account to join the conversation.

More
02 Oct 2023 12:26 #282060 by cornholio
Wow it's been a bit of a battle.
I've managed to build the ssremote firmware, I used the sources from github.com/LinuxCNC/hostmot2-firmware, used the project file from the hostmot2 zip file that comes with the files @ mesa, added the needed files from that archive.
Now my hacked together 7i90 can discover my 7i73 (this is a genuine Mesa product) pendant (this was never an issue )& the 7i90 ssremote firmware on the Mimas.

Now to do some testing in the morning.

Thanks once again PCW for being so generous.

One question will a 25MHz clock scale up to the 100Mhz & 200 Mhz clocks ? I guess I would need a multiplier of 8 & 16 respectively. This would be used with a xc6lx16

Please Log in or Create an account to join the conversation.

More
04 Oct 2023 09:04 - 04 Oct 2023 10:04 #282258 by cornholio
OK I get it now after rereading the manual, just 72 GPIOs for smart serial interface.

Anything else needs the "LBP16 remote HostMot2 using the RS-422 interface".
Last edit: 04 Oct 2023 10:04 by cornholio. Reason: lightbulb moment

Please Log in or Create an account to join the conversation.

More
04 Oct 2023 11:14 - 04 Oct 2023 11:36 #282268 by PCW
Normal sserial has a 96 bit (96 in and 96 out) process data limit  so
the 7I90ssremote uses 72 bits for GPIO and 24 bits for 3X 8 bit MPG
encoders = 96 bits in but just 72 out

SerialB has a 224 bit limit but the ssremote firmware would need to be
modified to use this.

Note that the source is there for the 7I90 and 7IA0 soft cores
but the build environment is a bit weird. The 7IA0 even has analog in
done directly by the FPGA.
Last edit: 04 Oct 2023 11:36 by PCW.

Please Log in or Create an account to join the conversation.

More
04 Oct 2023 11:53 - 04 Oct 2023 11:54 #282274 by cornholio
Cheers

That would be ssremote7i90.zip ?
Using the TASM assembler, I've got a file you posted regarding rebuilding the ethernet frimware, hm2_eth.zip, and messed around with makee.bat and run that under dosbox.
:top
makeinc ssremote.inc ssremote.equ A
macro ssremote.asm > ssremote.tmp
.\Tasm -tD8ss -a -e -g3 -l -f00 -c ssremote.tmp
if errorlevel 0 goto success
goto bad
:success
echo OK!
rem pause
copy ssremote.obj ssremote.bin
makeram ssremote.bin ssremote.vhd 16 2048 SinglePort CheckJump
copy ssremote.vhd d:
goto end
:bad
echo badd badd badd badd
:end

It seemed to run ok got some messages at the end:
Jump in conditional jump shadow at address 0075
Jump in conditional jump shadow at address 0585
Jump in conditional jump shadow at address 0650

Ran a diff on it and the date was the only difference between that & ssremote_7i90_v2.vhd.

I'll have a play tomorrow, is there any trick to getting the encoder count pins to show up ?

Once again thanks alot
Last edit: 04 Oct 2023 11:54 by cornholio.

Please Log in or Create an account to join the conversation.

More
06 Oct 2023 08:44 #282432 by cornholio
Think I've got my 4x16 ports and 4 encoders, need to confirm 48=A0,49=B0,50=A1,51=B1,52=A2,53=B2,54=A3,55=B3 before hooking up hardware and chasing my tale. Took a bit of time to realise about the 10 bit address was causing some compilation errors.
 9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc0.count
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc0.index-enable
     9  float OUT             0  hm2_7i90.0.r901.0.3.enc0.position
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc0.rawcounts
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc0.reset
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc1.count
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc1.index-enable
     9  float OUT             0  hm2_7i90.0.r901.0.3.enc1.position
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc1.rawcounts
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc1.reset
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc2.count
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc2.index-enable
     9  float OUT             0  hm2_7i90.0.r901.0.3.enc2.position
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc2.rawcounts
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc2.reset
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc3.count
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc3.index-enable
     9  float OUT             0  hm2_7i90.0.r901.0.3.enc3.position
     9  s32   OUT             0  hm2_7i90.0.r901.0.3.enc3.rawcounts
     9  bit   I/O         FALSE  hm2_7i90.0.r901.0.3.enc3.reset
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-00
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-00-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-01
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-01-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-02
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-02-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-03
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-03-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-04
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-04-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-05
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-05-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-06
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-06-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-07
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-07-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-08
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-08-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-09
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-09-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-10
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-10-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-11
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-11-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-12
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-12-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-13
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-13-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-14
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-14-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p1-in-15-not
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-00
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-01
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-02
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-03
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-04
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-05
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-06
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-07
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-08
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-09
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-10
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-11
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-12
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-13
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-14
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p1-out-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-00
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-00-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-01
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-01-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-02
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-02-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-03
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-03-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-04
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-04-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-05
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-05-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-06
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-06-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-07
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-07-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-08
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-08-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-09
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-09-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-10
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-10-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-11
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-11-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-12
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-12-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-13
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-13-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-14
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-14-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p2-in-15-not
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-00
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-01
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-02
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-03
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-04
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-05
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-06
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-07
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-08
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-09
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-10
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-11
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-12
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-13
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-14
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p2-out-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-00
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-00-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-01
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-01-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-02
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-02-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-03
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-03-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-04
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-04-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-05
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-05-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-06
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-06-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-07
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-07-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-08
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-08-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-09
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-09-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-10
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-10-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-11
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-11-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-12
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-12-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-13
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-13-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-14
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-14-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p3-in-15-not
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-00
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-01
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-02
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-03
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-04
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-05
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-06
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-07
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-08
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-09
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-10
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-11
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-12
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-13
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-14
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p3-out-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-00
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-00-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-01
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-01-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-02
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-02-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-03
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-03-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-04
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-04-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-05
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-05-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-06
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-06-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-07
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-07-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-08
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-08-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-09
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-09-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-10
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-10-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-11
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-11-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-12
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-12-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-13
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-13-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-14
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-14-not
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-15
     9  bit   OUT         FALSE  hm2_7i90.0.r901.0.3.p4-in-15-not
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-00
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-01
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-02
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-03
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-04
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-05
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-06
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-07
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-08
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-09
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-10
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-11
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-12
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-13
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-14
     9  bit   IN          FALSE  hm2_7i90.0.r901.0.3.p4-out-15
     9  u32   RW     0x00000100  hm2_7i90.0.r901.0.3.enc0.counts-per-rev
     9  float RW              1  hm2_7i90.0.r901.0.3.enc0.scale
     9  u32   RW     0x00000000  hm2_7i90.0.r901.0.3.enc0mode
     9  u32   RW     0x00000100  hm2_7i90.0.r901.0.3.enc1.counts-per-rev
     9  float RW              1  hm2_7i90.0.r901.0.3.enc1.scale
     9  u32   RW     0x00000000  hm2_7i90.0.r901.0.3.enc1mode
     9  u32   RW     0x00000100  hm2_7i90.0.r901.0.3.enc2.counts-per-rev
     9  float RW              1  hm2_7i90.0.r901.0.3.enc2.scale
     9  u32   RW     0x00000000  hm2_7i90.0.r901.0.3.enc2mode
     9  u32   RW     0x00000100  hm2_7i90.0.r901.0.3.enc3.counts-per-rev
     9  float RW              1  hm2_7i90.0.r901.0.3.enc3.scale
     9  u32   RW     0x00000100  hm2_7i90.0.r901.0.3.hwrevision
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-00-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-01-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-02-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-03-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-04-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-05-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-06-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-07-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-08-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-09-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-10-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-11-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-12-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-13-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-14-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p1-out-15-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-00-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-01-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-02-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-03-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-04-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-05-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-06-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-07-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-08-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-09-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-10-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-11-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-12-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-13-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-14-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p2-out-15-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-00-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-01-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-02-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-03-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-04-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-05-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-06-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-07-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-08-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-09-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-10-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-11-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-12-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-13-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-14-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p3-out-15-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-00-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-01-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-02-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-03-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-04-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-05-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-06-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-07-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-08-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-09-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-10-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-11-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-12-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-13-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-14-invert
     9  bit   RW          FALSE  hm2_7i90.0.r901.0.3.p4-out-15-invert
     9  u32   RW     0x0000000F  hm2_7i90.0.r901.0.3.swrevision

Please Log in or Create an account to join the conversation.

More
15 Oct 2023 11:11 - 15 Oct 2023 11:13 #283051 by cornholio
So 9 days later, three days spent debugging cos I put in a "ret" "one block of code early" and realising I had to actually edit the pin file to include the MPGs via inm entries I have a Colorlight RV901T running a modded 7i90 bitfile with modded ssremote firmware.

xorb minusoneloc was a bit of a headscratcher..........been a while since I've done any assembly (was kinda fun), but it seems to be a pretty easy to digest instruction set.

Sorry for changing the subject title tommy.
Last edit: 15 Oct 2023 11:13 by cornholio.

Please Log in or Create an account to join the conversation.

More
15 Oct 2023 12:00 #283057 by tommylight
Should i change the topic for the whole topic?

Please Log in or Create an account to join the conversation.

More
15 Oct 2023 18:29 #283084 by cornholio
If it’s a lot of trouble no, if it’s not too much trouble that would be appreciated.

As an aside, I got 2 Spartan 6 dev boards running Mesa firmware, one connected to a RPi via SPI with the usual stepgen, encoder, GPIO and smart serial interface and a second one with 4 MPG inputs and the other 56 pins for use as either input or output. I was thinking of sharing but don’t want to be disrespectful to Pete as he supplies top products and great support, what do you think ?
I do use a few (5) Mesa boards in the mill, so I am really happy. In reality the Mesa products are a better route, simpler and less expensive than the DIY route but I had some odds and sods in the “useful box” and needed something to keep the “black dog” at bay.

Please Log in or Create an account to join the conversation.

Moderators: PCWjmelson
Time to create page: 0.101 seconds
Powered by Kunena Forum