0-10v Servo Control with 7i76E

More
10 May 2022 08:24 #242473 by besriworld
Thanks for the quick response.
I have a question again. Before I place an order. I'm looking at a board. 7i98 - There are 51 inputs/Outputs
Are there any examples of how to make a custom bit file. For example, connector P1 should have 3 analog outputs (+ 10 / -10) and 3 inputs for encoder + index. and one out for RS422 (for controll panel)
Connector P2 and P3 configured as LPT
Can it be done by an ordinary person. :)

If it can be done, I will buy two sets and these boards are available in the EU

Please Log in or Create an account to join the conversation.

More
10 May 2022 11:27 #242479 by andypugh
Yes, you can make your own bitfile. It's generally easiest to start with something close and modify it.

wiki.linuxcnc.org/cgi-bin/wiki.pl?Editing_MESA_Bitfiles

It's worth looking through the existing bitfiles and vhdl for other 51 pin cards (vhdl files like PIN_***_51) in the source:

www.mesanet.com/software/parallel/7i98.zip

Look in configs/hosmot2/ and configs/hostmot2/source/hostmot2.zip (needs to be expanded)
The following user(s) said Thank You: besriworld

Please Log in or Create an account to join the conversation.

More
11 May 2022 14:42 #242646 by besriworld
Thanks!
It seems half the job is to find the right files. :)
I ordered the cards. I hope I can handle this job.

Please Log in or Create an account to join the conversation.

More
15 May 2022 15:28 #243024 by besriworld
A little update. The cards will arrive next week. 2 pieces 7i98 and 2 pieces 7i73. I installed ISE14.7 and successfully compiled the firmware. It remains to make a bit file for my custom pcb board.
Each 26 pin connector has 17 I/O but must be in this combination /12 Input and 5 output / or / 12 output and 5 input/ or /17 input / or / 17output /?
Or each pin has a choice of direction ?

Please Log in or Create an account to join the conversation.

More
15 May 2022 15:46 #243025 by tommylight

Or each pin has a choice of direction ?

Yes, on most of the Mesa boards. I am sure 7i92 does that, not sure about 7i98 but i would venture a guess at yes.
The following user(s) said Thank You: besriworld

Please Log in or Create an account to join the conversation.

More
30 Jul 2022 06:58 #248661 by besriworld
Hello, does this configuration fit in the mesa 7i98 
Attachments:

Please Log in or Create an account to join the conversation.

More
30 Jul 2022 15:26 - 30 Jul 2022 15:27 #248677 by PCW
Replied by PCW on topic 0-10v Servo Control with 7i76E
Yes, that will certainly fit

Notes:
The outputs on the last connector are handled by GPIO (no special function needed)
That is, they will look like this in the pinout file:

IOPortTag & x"00" & NullTag & NullPin,

There is no option for PWM combined with Stepgen on a pin. Any pin can be a
Stepgen of PWM pin, but not both.

All pins revert to GPIO (so have input and output capability) if they have no
special function or if the special function is disabled in the hal file
(with a "num_pwmgens = N" or "num_stepgens=M" etc statement in the
driver configuration line)
Last edit: 30 Jul 2022 15:27 by PCW.
The following user(s) said Thank You: besriworld

Please Log in or Create an account to join the conversation.

More
01 Aug 2022 06:32 #248772 by besriworld
Thank you very much for the help .
Yes, I just made a custom PCB and there is an option for analog output or step direction. -Just loading another firmware. Where can I download sample configuration files . I am currently viewing the files from here: store.mesanet.com/index.php?route=produc...oduct&product_id=339

store.mesanet.com/index.php?route=produc...69_62&product_id=306

Is there a bigger repository?
This is my first board and there may be many mistakes.
Attachments:

Please Log in or Create an account to join the conversation.

More
01 Aug 2022 16:43 #248798 by PCW
Replied by PCW on topic 0-10v Servo Control with 7i76E
the 7i98.zip file will have all of the hostmot2 source code (in hostmot2/configs/source/hostmot2.zip)

Pinout file names all start with "PIN"
The following user(s) said Thank You: besriworld

Please Log in or Create an account to join the conversation.

More
13 Mar 2023 15:53 - 13 Mar 2023 16:02 #266563 by besriworld
Hello ,
can someone check my custom bit file ... successful compilation.

 

 

 

 

package PIN_5ABOBx3D_51Copy is
    constant ModuleID : ModuleIDType :=( 
        (HM2DPLLTag,    x"00",    ClockLowTag,    x"01",    HM2DPLLBaseRateAddr&PadT,    HM2DPLLNumRegs,        x"00",    HM2DPLLMPBitMask),
        (WatchDogTag,    x"00",    ClockLowTag,    x"01",    WatchDogTimeAddr&PadT,        WatchDogNumRegs,        x"00",    WatchDogMPBitMask),
        (IOPortTag,        x"00",    ClockLowTag,    x"03",    PortAddr&PadT,                    IOPortNumRegs,            x"00",    IOPortMPBitMask),
        (QcountTag,        x"02",    ClockLowTag,    x"05",    QcounterAddr&PadT,            QCounterNumRegs,        x"00",    QCounterMPBitMask),
        (StepGenTag,    x"02",    ClockLowTag,    x"04",    StepGenRateAddr&PadT,        StepGenNumRegs,        x"00",    StepGenMPBitMask),
        (PWMTag,            x"00",    ClockHighTag,    x"01",    PWMValAddr&PadT,                PWMNumRegs,                x"00",    PWMMPBitMask),
       (SSerialTag,    x"00",    ClockLowTag,    x"01",    SSerialCommandAddr&PadT,    SSerialNumRegs,        x"10",    SSerialMPBitMask),
        (LEDTag,            x"00",    ClockLowTag,    x"01",    LEDAddr&PadT,                    LEDNumRegs,                x"00",    LEDMPBitMask),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,        x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000")
        );
        
    
    constant PinDesc : PinDescType :=(
--     Base func  sec unit sec func      sec pin                                        -- external IDC 26
        IOPortTag & x"03" & QCountTag & QCountQAPin,                -- I/O 00    PIN 1        ENCODER QA 3
        IOPortTag & x"03" & QCountTag & QCountIDXPin,            -- I/O 01    PIN 2     ENCODER IDX3  
        IOPortTag & x"03" & QCountTag & QCountQBPin,             -- I/O 02    PIN 3    ENCODER QB 3
        IOPortTag & x"04" & QCountTag & QCountIDXPin,            -- I/O 03    PIN 4     ENCODER IDX4
        IOPortTag & x"00" & SSerialTag & SSerialRX0Pin,          -- I/O 04    PIN 5        SERIAL_RX    
        IOPortTag & x"04" & QCountTag & QCountQBPin,                -- I/O 05    PIN 6    ENCODER QB 4
        IOPortTag & x"00" & SSerialTag & SSerialTX0Pin,       -- I/O 06    PIN 7        SERIAL_TX
        IOPortTag & x"04" & QCountTag & QCountQAPin,                -- I/O 07    PIN 8     ENCODER QA 4
        IOPortTag & x"02" & QCountTag & QCountQAPin,              -- I/O 08    PIN 9     ENCODER QA 2
        IOPortTag & x"02" & QCountTag & QCountQBPin,             -- I/O 09    PIN 11    ENCODER QB 2
        IOPortTag & x"02" & QCountTag & QCountIDXPin,           -- I/O 10    PIN 13    ENCODER IDX2
        IOPortTag & x"01" & QCountTag & QCountIDXPin,          -- I/O 11    PIN 15    ENCODER IDX1
        IOPortTag & x"01" & QCountTag & QCountQBPin,              -- I/O 12    PIN 17    ENCODER QB 1
        IOPortTag & x"01" & QCountTag & QCountQAPin,                -- I/O 13    PIN 19    ENCODER QA 1
        IOPortTag & x"00" & QCountTag & QCountIDXPin,             -- I/O 14    PIN 21    ENCODER IDX0
        IOPortTag & x"00" & QCountTag & QCountQBPin,             -- I/O 15    PIN 23    ENCODER QB 0
        IOPortTag & x"00" & QCountTag & QCountQAPin,           -- I/O 16    PIN 25    ENCODER QA 0
        
                                                                            -- 26 HDR    -- IDC 26    
        IOPortTag & x"00" & PWMTag & PWMAOutPin,                -- I/O 17    PIN 1             Analog DAC PWM
        IOPortTag & x"00" & PWMTag & PWMBDirPin,                -- I/O 18   PIN 2            Analog DAC PWM_DIR
        IOPortTag & x"00" & StepGenTag & StepGenStepPin,    -- I/O 19   PIN 3            StepGenStep2
        IOPortTag & x"00" & StepGenTag & StepGenDirPin,       -- I/O 20    PIN 4            StepGenDir2
        IOPortTag & x"01" & StepGenTag & StepGenStepPin,    -- I/O 21    PIN 5           StepGenStep3    
        IOPortTag & x"01" & StepGenTag & StepGenDirPin,     -- I/O 22    PIN 6            StepGenDir3
        IOPortTag & x"02" & StepGenTag & StepGenStepPin,    -- I/O 23    PIN 7           StepGenStep4
        IOPortTag & x"02" & StepGenTag & StepGenDirPin,       -- I/O 24    PIN 8           StepGenDir4
        IOPortTag & x"03" & StepGenTag & StepGenStepPin,    -- I/O 25    PIN 9           StepGenStep5
        IOPortTag & x"03" & StepGenTag & StepGenDirPin,       -- I/O 26    PIN 11       StepGenDir5
        IOPortTag & x"00" & InMuxTag & InMuxAddr0Pin,       -- I/O 27    PIN 13       InMuxAddr0Pin
        IOPortTag & x"00" & InMuxTag & InMuxAddr1Pin,       -- I/O 28    PIN 15       InMuxAddr1Pin
        IOPortTag & x"00" & InMuxTag & InMuxAddr2Pin,        -- I/O 29    PIN 17       InMuxAddr2Pin
        IOPortTag & x"00" & InMuxTag & InMuxAddr3Pin,        -- I/O 30    PIN 19       InMuxAddr3Pin
        IOPortTag & x"00" & InMuxTag & InMuxAddr4Pin,          -- I/O 31    PIN 21       InMuxAddr4Pin
        IOPortTag & x"00" & InMuxTag & InMuxDataPin,          -- I/O 32    PIN 23       InMuxdata
        IOPortTag & x"17" & NullTag & NullPin,              -- I/O 33    PIN 25       free
        
                                                                            -- 26 HDR    -- IDC 26    
        IOPortTag & x"06" & NullTag & NullPin,                -- I/O 34    PIN 1            just GPIO_6
        IOPortTag & x"07" & NullTag & NullPin,                -- I/O 35   PIN 2            just GPIO_7
        IOPortTag & x"04" & NullTag & NullPin,           -- I/O 36   PIN 3           just GPIO_4
        IOPortTag & x"05" & NullTag & NullPin,                -- I/O 37    PIN 4            just GPIO_5
        IOPortTag & x"02" & NullTag & NullPin,              -- I/O 38    PIN 5           just GPIO_2 
        IOPortTag & x"03" & NullTag & NullPin,                -- I/O 39    PIN 6            just GPIO_3
        IOPortTag & x"00" & NullTag & NullPin,             -- I/O 40    PIN 7            just GPIO_0
        IOPortTag & x"01" & NullTag & NullPin,                -- I/O 41    PIN 8            just GPIO_1
        IOPortTag & x"08" & NullTag & NullPin,              -- I/O 42    PIN 9            just GPIO_8
        IOPortTag & x"09" & NullTag & NullPin,             -- I/O 43    PIN 11        just GPIO_9
        IOPortTag & x"10" & NullTag & NullPin,              -- I/O 44    PIN 13       just GPIO_10
        IOPortTag & x"11" & NullTag & NullPin,             -- I/O 45    PIN 15        just GPIO_11
        IOPortTag & x"12" & NullTag & NullPin,              -- I/O 46    PIN 17        just GPIO_12
        IOPortTag & x"13" & NullTag & NullPin,                -- I/O 47    PIN 19        just GPIO_13
        IOPortTag & x"14" & NullTag & NullPin,              -- I/O 48    PIN 21          just GPIO_14
        IOPortTag & x"15" & NullTag & NullPin,             -- I/O 49    PIN 23        just GPIO_15
        IOPortTag & x"16" & NullTag & NullPin,           -- I/O 50    PIN 25        just GPIO_16
        

        emptypin,emptypin,emptypin,emptypin,emptypin, -- added for IDROM v3 ​​​​​​​

[code] 
[/code]
Attachments:
Last edit: 13 Mar 2023 16:02 by besriworld.

Please Log in or Create an account to join the conversation.

Time to create page: 0.134 seconds
Powered by Kunena Forum