Hardinge HC Bandit Retrofit

More
12 Mar 2017 19:14 #89482 by andypugh
This is the config from my lathe (slightly edited).
It uses a 6i24 and 7i49, so will be close to right for you.
The config will fail to load on your machine as we use different GPIO devices (I have 7i84 and 7i73) but you should be able to address those one at a time, linking the actual GPIO pins you have connected.

I have commented out panel.hal (looks after my control panels) and psu.hal (looks after my power supply) and spindle.hal (which handles my 2-speed gearbox and modbus-controlled VFD) but the hal files themselves are included for reference.

The config has a few quirks: I includes my lathe macros and also has an experimental remapped G71 / G72 cycle available.
Attachments:
The following user(s) said Thank You: mclausen

Please Log in or Create an account to join the conversation.

More
13 Mar 2017 01:44 #89498 by mclausen
Thanks Andy! I'm sure this will be a big help. Also thanks for including your macros. I saw in another thread where you had developed them, and was interested in including them.

Please Log in or Create an account to join the conversation.

More
16 Mar 2017 16:40 #89752 by mclausen
I can't get LinuxCNC to start with the coordinates=xz option in trivkins. Also the joint.0.___ pins are not found. Could it be my LinuxCNC version is too old? I have 2.7. Are you using 2.8?

Please Log in or Create an account to join the conversation.

More
16 Mar 2017 17:22 #89757 by andypugh
Yes, sorry, I forgot. Many things have changed in 2.8 in the INI file format.

I think I can find a mill config for similar hardware for the earlier version.

2.8 is not released yet, though I have been using it for a long time. (It is available to install from buildbot.linuxcnc.rg)

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 13:52 #89883 by mclausen
I went ahead and upgraded to LinuxCNC 2.8, and now I can get it to load.
I am trouble getting any encoder pins. I am using :

loadrt hm2_pci config="num_encoders=2 num_pwmgens=2 num_resolvers=2"

halcmd show pin gives pins for 72 gpio, 2 pwmgens, and 2 resolvers but nothing for encoders.

I am using 5i24_16_sv6_7i49.bit firmware. Does it not support encoders? I have an encoder on the spindle, and an MPG for the panel.

Andy,
I see you are using 4 MPGs. I had to find your build blog to confirm that. (Nice build by the way!)
Are you using custom firmware?

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 14:32 #89885 by PCW
Replied by PCW on topic Hardinge HC Bandit Retrofit
I think most firmware with resolvers has no encoder modules so you probably require custom firmware
what daughtercards to do you have? (this will determine where the encoder pins go)

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 14:39 #89886 by mclausen
I am using a 7I37-TA and a 7I42TA.
I would like to connect the encoders to the 7I42TA as the other board will be for 24V I/O.

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 15:15 #89888 by andypugh

I see you are using 4 MPGs. I had to find your build blog to confirm that. (Nice build by the way!)
Are you using custom firmware?


My MPGs are connected to MG counted on the smart-serial cards. Two on the 7i73 and two on the 7i84.

These wouldn't work for a spindle, they have no index.

I have a special firmware for my 5i23 that has a pair of full encoders (and the 3pwmgens for a 7i39) but I don't think that would work for the 5i24.

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 18:04 - 19 Mar 2017 18:04 #89893 by PCW
Replied by PCW on topic Hardinge HC Bandit Retrofit
Here is a modified 5I24_16 sv6_7I49 bitfile with a 7I30/7I29/7I40 pinout on the middle connector
this includes 4 additional encoder inputs and 4 additional PWM outputs
This will work with a 7I42 though with a slightly odd pinout

freeby.mesanet.com/sv10.zip
Last edit: 19 Mar 2017 18:04 by PCW.

Please Log in or Create an account to join the conversation.

More
19 Mar 2017 19:25 #89897 by mclausen
Thanks PCW .

I flashed the 5i24 with the provided bit file, but I am still only getting GPIO pins, nothing for encoders.

halcmd: loadrt hostmot2 use_serial_numbers=1
halcmd: loadrt hm2_pci config="num_encoders=2 num_pwmgens=2 num_resolvers=2"
halcmd: show pin
Component Pins:
Owner Type Dir Value Name
5 bit OUT TRUE hm2_5i24.0.gpio.000.in
5 bit OUT FALSE hm2_5i24.0.gpio.000.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.001.in
5 bit OUT FALSE hm2_5i24.0.gpio.001.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.002.in
5 bit OUT FALSE hm2_5i24.0.gpio.002.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.003.in
5 bit OUT FALSE hm2_5i24.0.gpio.003.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.004.in
5 bit OUT TRUE hm2_5i24.0.gpio.004.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.005.in
5 bit OUT FALSE hm2_5i24.0.gpio.005.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.006.in
5 bit OUT TRUE hm2_5i24.0.gpio.006.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.007.in
5 bit OUT TRUE hm2_5i24.0.gpio.007.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.008.in
5 bit OUT TRUE hm2_5i24.0.gpio.008.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.009.in
5 bit OUT TRUE hm2_5i24.0.gpio.009.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.010.in
5 bit OUT FALSE hm2_5i24.0.gpio.010.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.011.in
5 bit OUT TRUE hm2_5i24.0.gpio.011.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.012.in
5 bit OUT TRUE hm2_5i24.0.gpio.012.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.013.in
5 bit OUT TRUE hm2_5i24.0.gpio.013.in_not
5 bit OUT FALSE hm2_5i24.0.gpio.014.in
5 bit OUT TRUE hm2_5i24.0.gpio.014.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.015.in
5 bit OUT FALSE hm2_5i24.0.gpio.015.in_not
5 bit IN FALSE hm2_5i24.0.gpio.015.out
5 bit OUT TRUE hm2_5i24.0.gpio.016.in
5 bit OUT FALSE hm2_5i24.0.gpio.016.in_not
5 bit IN FALSE hm2_5i24.0.gpio.016.out
5 bit OUT TRUE hm2_5i24.0.gpio.017.in
5 bit OUT FALSE hm2_5i24.0.gpio.017.in_not
5 bit IN FALSE hm2_5i24.0.gpio.017.out
5 bit OUT TRUE hm2_5i24.0.gpio.018.in
5 bit OUT FALSE hm2_5i24.0.gpio.018.in_not
5 bit IN FALSE hm2_5i24.0.gpio.018.out
5 bit OUT TRUE hm2_5i24.0.gpio.019.in
5 bit OUT FALSE hm2_5i24.0.gpio.019.in_not
5 bit IN FALSE hm2_5i24.0.gpio.019.out
5 bit OUT TRUE hm2_5i24.0.gpio.020.in
5 bit OUT FALSE hm2_5i24.0.gpio.020.in_not
5 bit IN FALSE hm2_5i24.0.gpio.020.out
5 bit OUT TRUE hm2_5i24.0.gpio.021.in
5 bit OUT FALSE hm2_5i24.0.gpio.021.in_not
5 bit IN FALSE hm2_5i24.0.gpio.021.out
5 bit OUT TRUE hm2_5i24.0.gpio.022.in
5 bit OUT FALSE hm2_5i24.0.gpio.022.in_not
5 bit IN FALSE hm2_5i24.0.gpio.022.out
5 bit OUT TRUE hm2_5i24.0.gpio.023.in
5 bit OUT FALSE hm2_5i24.0.gpio.023.in_not
5 bit OUT TRUE hm2_5i24.0.gpio.024.in
5 bit OUT FALSE hm2_5i24.0.gpio.024.in_not
5 bit IN FALSE hm2_5i24.0.gpio.024.out
5 bit OUT TRUE hm2_5i24.0.gpio.025.in
5 bit OUT FALSE hm2_5i24.0.gpio.025.in_not
5 bit IN FALSE hm2_5i24.0.gpio.025.out
5 bit OUT TRUE hm2_5i24.0.gpio.026.in
5 bit OUT FALSE hm2_5i24.0.gpio.026.in_not
5 bit IN FALSE hm2_5i24.0.gpio.026.out
5 bit OUT TRUE hm2_5i24.0.gpio.027.in
5 bit OUT FALSE hm2_5i24.0.gpio.027.in_not
5 bit IN FALSE hm2_5i24.0.gpio.027.out
5 bit OUT TRUE hm2_5i24.0.gpio.028.in
5 bit OUT FALSE hm2_5i24.0.gpio.028.in_not
5 bit IN FALSE hm2_5i24.0.gpio.028.out
5 bit OUT TRUE hm2_5i24.0.gpio.029.in
5 bit OUT FALSE hm2_5i24.0.gpio.029.in_not
5 bit IN FALSE hm2_5i24.0.gpio.029.out
5 bit OUT TRUE hm2_5i24.0.gpio.030.in
5 bit OUT FALSE hm2_5i24.0.gpio.030.in_not
5 bit IN FALSE hm2_5i24.0.gpio.030.out
5 bit OUT TRUE hm2_5i24.0.gpio.031.in
5 bit OUT FALSE hm2_5i24.0.gpio.031.in_not
5 bit IN FALSE hm2_5i24.0.gpio.031.out
5 bit OUT TRUE hm2_5i24.0.gpio.032.in
5 bit OUT FALSE hm2_5i24.0.gpio.032.in_not
5 bit IN FALSE hm2_5i24.0.gpio.032.out
5 bit OUT TRUE hm2_5i24.0.gpio.033.in
5 bit OUT FALSE hm2_5i24.0.gpio.033.in_not
5 bit IN FALSE hm2_5i24.0.gpio.033.out
5 bit OUT TRUE hm2_5i24.0.gpio.034.in
5 bit OUT FALSE hm2_5i24.0.gpio.034.in_not
5 bit IN FALSE hm2_5i24.0.gpio.034.out
5 bit OUT TRUE hm2_5i24.0.gpio.035.in
5 bit OUT FALSE hm2_5i24.0.gpio.035.in_not
5 bit IN FALSE hm2_5i24.0.gpio.035.out
5 bit OUT TRUE hm2_5i24.0.gpio.036.in
5 bit OUT FALSE hm2_5i24.0.gpio.036.in_not
5 bit IN FALSE hm2_5i24.0.gpio.036.out
5 bit OUT TRUE hm2_5i24.0.gpio.037.in
5 bit OUT FALSE hm2_5i24.0.gpio.037.in_not
5 bit IN FALSE hm2_5i24.0.gpio.037.out
5 bit OUT TRUE hm2_5i24.0.gpio.038.in
5 bit OUT FALSE hm2_5i24.0.gpio.038.in_not
5 bit IN FALSE hm2_5i24.0.gpio.038.out
5 bit OUT TRUE hm2_5i24.0.gpio.039.in
5 bit OUT FALSE hm2_5i24.0.gpio.039.in_not
5 bit IN FALSE hm2_5i24.0.gpio.039.out
5 bit OUT TRUE hm2_5i24.0.gpio.040.in
5 bit OUT FALSE hm2_5i24.0.gpio.040.in_not
5 bit IN FALSE hm2_5i24.0.gpio.040.out
5 bit OUT TRUE hm2_5i24.0.gpio.041.in
5 bit OUT FALSE hm2_5i24.0.gpio.041.in_not
5 bit IN FALSE hm2_5i24.0.gpio.041.out
5 bit OUT TRUE hm2_5i24.0.gpio.042.in
5 bit OUT FALSE hm2_5i24.0.gpio.042.in_not
5 bit IN FALSE hm2_5i24.0.gpio.042.out
5 bit OUT TRUE hm2_5i24.0.gpio.043.in
5 bit OUT FALSE hm2_5i24.0.gpio.043.in_not
5 bit IN FALSE hm2_5i24.0.gpio.043.out
5 bit OUT TRUE hm2_5i24.0.gpio.044.in
5 bit OUT FALSE hm2_5i24.0.gpio.044.in_not
5 bit IN FALSE hm2_5i24.0.gpio.044.out
5 bit OUT TRUE hm2_5i24.0.gpio.045.in
5 bit OUT FALSE hm2_5i24.0.gpio.045.in_not
5 bit IN FALSE hm2_5i24.0.gpio.045.out
5 bit OUT TRUE hm2_5i24.0.gpio.046.in
5 bit OUT FALSE hm2_5i24.0.gpio.046.in_not
5 bit IN FALSE hm2_5i24.0.gpio.046.out
5 bit OUT TRUE hm2_5i24.0.gpio.047.in
5 bit OUT FALSE hm2_5i24.0.gpio.047.in_not
5 bit IN FALSE hm2_5i24.0.gpio.047.out
5 bit OUT TRUE hm2_5i24.0.gpio.048.in
5 bit OUT FALSE hm2_5i24.0.gpio.048.in_not
5 bit IN FALSE hm2_5i24.0.gpio.048.out
5 bit OUT TRUE hm2_5i24.0.gpio.049.in
5 bit OUT FALSE hm2_5i24.0.gpio.049.in_not
5 bit IN FALSE hm2_5i24.0.gpio.049.out
5 bit OUT FALSE hm2_5i24.0.gpio.050.in
5 bit OUT TRUE hm2_5i24.0.gpio.050.in_not
5 bit IN FALSE hm2_5i24.0.gpio.050.out
5 bit OUT FALSE hm2_5i24.0.gpio.051.in
5 bit OUT TRUE hm2_5i24.0.gpio.051.in_not
5 bit IN FALSE hm2_5i24.0.gpio.051.out
5 bit OUT TRUE hm2_5i24.0.gpio.052.in
5 bit OUT FALSE hm2_5i24.0.gpio.052.in_not
5 bit IN FALSE hm2_5i24.0.gpio.052.out
5 bit OUT TRUE hm2_5i24.0.gpio.053.in
5 bit OUT FALSE hm2_5i24.0.gpio.053.in_not
5 bit IN FALSE hm2_5i24.0.gpio.053.out
5 bit OUT TRUE hm2_5i24.0.gpio.054.in
5 bit OUT FALSE hm2_5i24.0.gpio.054.in_not
5 bit IN FALSE hm2_5i24.0.gpio.054.out
5 bit OUT TRUE hm2_5i24.0.gpio.055.in
5 bit OUT FALSE hm2_5i24.0.gpio.055.in_not
5 bit IN FALSE hm2_5i24.0.gpio.055.out
5 bit OUT TRUE hm2_5i24.0.gpio.056.in
5 bit OUT FALSE hm2_5i24.0.gpio.056.in_not
5 bit IN FALSE hm2_5i24.0.gpio.056.out
5 bit OUT TRUE hm2_5i24.0.gpio.057.in
5 bit OUT FALSE hm2_5i24.0.gpio.057.in_not
5 bit IN FALSE hm2_5i24.0.gpio.057.out
5 bit OUT TRUE hm2_5i24.0.gpio.058.in
5 bit OUT FALSE hm2_5i24.0.gpio.058.in_not
5 bit IN FALSE hm2_5i24.0.gpio.058.out
5 bit OUT TRUE hm2_5i24.0.gpio.059.in
5 bit OUT FALSE hm2_5i24.0.gpio.059.in_not
5 bit IN FALSE hm2_5i24.0.gpio.059.out
5 bit OUT TRUE hm2_5i24.0.gpio.060.in
5 bit OUT FALSE hm2_5i24.0.gpio.060.in_not
5 bit IN FALSE hm2_5i24.0.gpio.060.out
5 bit OUT TRUE hm2_5i24.0.gpio.061.in
5 bit OUT FALSE hm2_5i24.0.gpio.061.in_not
5 bit IN FALSE hm2_5i24.0.gpio.061.out
5 bit OUT TRUE hm2_5i24.0.gpio.062.in
5 bit OUT FALSE hm2_5i24.0.gpio.062.in_not
5 bit IN FALSE hm2_5i24.0.gpio.062.out
5 bit OUT TRUE hm2_5i24.0.gpio.063.in
5 bit OUT FALSE hm2_5i24.0.gpio.063.in_not
5 bit IN FALSE hm2_5i24.0.gpio.063.out
5 bit OUT TRUE hm2_5i24.0.gpio.064.in
5 bit OUT FALSE hm2_5i24.0.gpio.064.in_not
5 bit IN FALSE hm2_5i24.0.gpio.064.out
5 bit OUT TRUE hm2_5i24.0.gpio.065.in
5 bit OUT FALSE hm2_5i24.0.gpio.065.in_not
5 bit IN FALSE hm2_5i24.0.gpio.065.out
5 bit OUT TRUE hm2_5i24.0.gpio.066.in
5 bit OUT FALSE hm2_5i24.0.gpio.066.in_not
5 bit IN FALSE hm2_5i24.0.gpio.066.out
5 bit OUT TRUE hm2_5i24.0.gpio.067.in
5 bit OUT FALSE hm2_5i24.0.gpio.067.in_not
5 bit IN FALSE hm2_5i24.0.gpio.067.out
5 bit OUT TRUE hm2_5i24.0.gpio.068.in
5 bit OUT FALSE hm2_5i24.0.gpio.068.in_not
5 bit IN FALSE hm2_5i24.0.gpio.068.out
5 bit OUT TRUE hm2_5i24.0.gpio.069.in
5 bit OUT FALSE hm2_5i24.0.gpio.069.in_not
5 bit IN FALSE hm2_5i24.0.gpio.069.out
5 bit OUT TRUE hm2_5i24.0.gpio.070.in
5 bit OUT FALSE hm2_5i24.0.gpio.070.in_not
5 bit IN FALSE hm2_5i24.0.gpio.070.out
5 bit OUT TRUE hm2_5i24.0.gpio.071.in
5 bit OUT FALSE hm2_5i24.0.gpio.071.in_not
5 bit IN FALSE hm2_5i24.0.gpio.071.out
5 bit IN FALSE hm2_5i24.0.led.CR01
5 bit IN FALSE hm2_5i24.0.led.CR02
5 bit IN FALSE hm2_5i24.0.pwmgen.00.enable
5 float IN 0 hm2_5i24.0.pwmgen.00.value
5 bit IN FALSE hm2_5i24.0.pwmgen.01.enable
5 float IN 0 hm2_5i24.0.pwmgen.01.value
5 s32 OUT 0 hm2_5i24.0.read.time
5 s32 OUT 0 hm2_5i24.0.read_gpio.time
5 float OUT 0 hm2_5i24.0.resolver.00.angle
5 s32 OUT 0 hm2_5i24.0.resolver.00.count
5 bit OUT FALSE hm2_5i24.0.resolver.00.error
5 bit I/O FALSE hm2_5i24.0.resolver.00.index-enable
5 float OUT 0 hm2_5i24.0.resolver.00.position
5 s32 OUT 0 hm2_5i24.0.resolver.00.rawcounts
5 bit IN FALSE hm2_5i24.0.resolver.00.reset
5 float OUT 0 hm2_5i24.0.resolver.00.velocity
5 float OUT 0 hm2_5i24.0.resolver.01.angle
5 s32 OUT 0 hm2_5i24.0.resolver.01.count
5 bit OUT FALSE hm2_5i24.0.resolver.01.error
5 bit I/O FALSE hm2_5i24.0.resolver.01.index-enable
5 float OUT 0 hm2_5i24.0.resolver.01.position
5 s32 OUT 0 hm2_5i24.0.resolver.01.rawcounts
5 bit IN FALSE hm2_5i24.0.resolver.01.reset
5 float OUT 0 hm2_5i24.0.resolver.01.velocity
5 bit I/O FALSE hm2_5i24.0.watchdog.has_bit
5 s32 OUT 0 hm2_5i24.0.write.time
5 s32 OUT 0 hm2_5i24.0.write_gpio.time



root@Hardinge:/lib/firmware/hm2/5i24_7i49# mesaflash --device 5i24 --readhmid
Configuration Name: HOSTMOT2

General configuration information:

BoardName : MESA5I24
FPGA Size: 16 KGates
FPGA Pins: 256
Number of IO Ports: 3
Width of one I/O port: 24
Clock Low frequency: 33.3333 MHz
Clock High frequency: 200.0000 MHz
IDROM Type: 3
Instance Stride 0: 4
Instance Stride 1: 64
Register Stride 0: 256
Register Stride 1: 256

Modules in configuration:

Module: WatchDog
There are 1 of WatchDog in configuration
Version: 0
Registers: 3
BaseAddress: 0C00
ClockFrequency: 33.333 MHz
Register Stride: 256 bytes
Instance Stride: 4 bytes

Module: IOPort
There are 3 of IOPort in configuration
Version: 0
Registers: 5
BaseAddress: 1000
ClockFrequency: 33.333 MHz
Register Stride: 256 bytes
Instance Stride: 4 bytes

Module: ResolverMod
There are 1 of ResolverMod in configuration
Version: 0
Registers: 5
BaseAddress: 3A00
ClockFrequency: 33.333 MHz
Register Stride: 256 bytes
Instance Stride: 4 bytes

Module: PWM
There are 6 of PWM in configuration
Version: 0
Registers: 5
BaseAddress: 4000
ClockFrequency: 200.000 MHz
Register Stride: 256 bytes
Instance Stride: 4 bytes

Module: LED
There are 1 of LED in configuration
Version: 0
Registers: 1
BaseAddress: 0200
ClockFrequency: 33.333 MHz
Register Stride: 256 bytes
Instance Stride: 4 bytes

Configuration pin-out:

IO Connections for P4
Pin# I/O Pri. func Sec. func Chan Pin func Pin Dir

1 0 IOPort PWM 0 /Enable (Out)
3 1 IOPort ResolverMod 0 PwrEn (Out)
5 2 IOPort ResolverMod 0 SPIDI0 (In)
7 3 IOPort ResolverMod 0 SPIDI1 (In)
9 4 IOPort ResolverMod 0 ADChan2 (Out)
11 5 IOPort ResolverMod 0 ADChan1 (Out)
13 6 IOPort ResolverMod 0 ADChan0 (Out)
15 7 IOPort ResolverMod 0 SPIClk (Out)
17 8 IOPort ResolverMod 0 SPICS (Out)
19 9 IOPort ResolverMod 0 PDMM (Out)
21 10 IOPort ResolverMod 0 PDMP (Out)
23 11 IOPort PWM 0 PWM (Out)
25 12 IOPort PWM 0 Dir (Out)
27 13 IOPort PWM 1 PWM (Out)
29 14 IOPort PWM 1 Dir (Out)
31 15 IOPort PWM 2 PWM (Out)
33 16 IOPort PWM 2 Dir (Out)
35 17 IOPort PWM 3 PWM (Out)
37 18 IOPort PWM 3 Dir (Out)
39 19 IOPort PWM 4 PWM (Out)
41 20 IOPort PWM 4 Dir (Out)
43 21 IOPort PWM 5 PWM (Out)
45 22 IOPort PWM 5 Dir (Out)
47 23 IOPort PWM 0 /Enable (Out)

IO Connections for P3
Pin# I/O Pri. func Sec. func Chan Pin func Pin Dir

1 24 IOPort None
3 25 IOPort None
5 26 IOPort None
7 27 IOPort None
9 28 IOPort None
11 29 IOPort None
13 30 IOPort None
15 31 IOPort None
17 32 IOPort None
19 33 IOPort None
21 34 IOPort None
23 35 IOPort None
25 36 IOPort None
27 37 IOPort None
29 38 IOPort None
31 39 IOPort None
33 40 IOPort None
35 41 IOPort None
37 42 IOPort None
39 43 IOPort None
41 44 IOPort None
43 45 IOPort None
45 46 IOPort None
47 47 IOPort None

IO Connections for P2
Pin# I/O Pri. func Sec. func Chan Pin func Pin Dir

1 48 IOPort None
3 49 IOPort None
5 50 IOPort None
7 51 IOPort None
9 52 IOPort None
11 53 IOPort None
13 54 IOPort None
15 55 IOPort None
17 56 IOPort None
19 57 IOPort None
21 58 IOPort None
23 59 IOPort None
25 60 IOPort None
27 61 IOPort None
29 62 IOPort None
31 63 IOPort None
33 64 IOPort None
35 65 IOPort None
37 66 IOPort None
39 67 IOPort None
41 68 IOPort None
43 69 IOPort None
45 70 IOPort None
47 71 IOPort None

Please Log in or Create an account to join the conversation.

Moderators: cncbasher
Time to create page: 0.146 seconds
Powered by Kunena Forum