Advanced Search

Search Results (Searched for: 7i76e)

31 May 2023 10:26

Need help to wire and config Mesa 7i92TM

Category: Driver Boards

Sorry I know it's long to explain to a newbie but I don't know where to find this information.
Do I have to set up the ethernet connection first following this guide?
forum.linuxcnc.org/27-driver-boards/3559...ethernet-mesa-boards
29 May 2023 04:32
Replied by my1987toyota on topic My Grizzly G0619 mill

My Grizzly G0619 mill

Category: Show Your Stuff

If your referring to the boards with only white and blue wires going to them with the fuse holder in the middle then yes
One is for mainly inductive loads, fans, relays, ect.the other is for switches and such for the 7i76e .
If your referring to the 2 boards on the left side wall then they are break out boards for the 2 DB37 connectors that I
am using. One to the G0619 itself and the other to the Human Machine Interface / computer.
29 May 2023 00:43

Step/Dir servos + Encoders = follow errors... and so much pain.....

Category: Advanced Configuration

Sorry for resurrecting this thread but a lot happend in life between when I stopped working on this and now.. Anyways, I am finally getting this machine back up and running ( change in jobs, moves, home renos, life, life moth balled this project for 2 years :-O)  

Anyways,   I did some searching and I am not sure clear instructions on how to use the stepgenindex to use index's for homing while still treating a the axis as open loop? 

I imagine it would start with 2.9 and updating the VHD for the pinnouts in the firmware... Here is my current VHD for my 7i76e + 7i89 + generic Bob combo looks like 
library IEEE;
use IEEE.std_logic_1164.all;  -- defines std_logic types
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics
-- http://www.mesanet.com
--
-- This program is is licensed under a disjunctive dual license giving you
-- the choice of one of the two following sets of free software/open source
-- licensing terms:
--
--    * GNU General Public License (GPL), version 2.0 or later
--    * 3-clause BSD License
-- 
--
-- The GNU GPL License:
-- 
--     This program is free software; you can redistribute it and/or modify
--     it under the terms of the GNU General Public License as published by
--     the Free Software Foundation; either version 2 of the License, or
--     (at your option) any later version.
-- 
--     This program is distributed in the hope that it will be useful,
--     but WITHOUT ANY WARRANTY; without even the implied warranty of
--     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
--     GNU General Public License for more details.
-- 
--     You should have received a copy of the GNU General Public License
--     along with this program; if not, write to the Free Software
--     Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301 USA
-- 
-- 
-- The 3-clause BSD License:
-- 
--     Redistribution and use in source and binary forms, with or without
--     modification, are permitted provided that the following conditions
--     are met:
-- 
--   * Redistributions of source code must retain the above copyright
--     notice, this list of conditions and the following disclaimer.
-- 
--   * Redistributions in binary form must reproduce the above
--     copyright notice, this list of conditions and the following
--     disclaimer in the documentation and/or other materials
--     provided with the distribution.
-- 
--   * Neither the name of Mesa Electronics nor the names of its
--     contributors may be used to endorse or promote products
--     derived from this software without specific prior written
--     permission.
-- 
-- 
-- Disclaimer:
-- 
--     THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
--     "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
--     LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
--     FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
--     COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
--     INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
--     BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
--     LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
--     CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
--     LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
--     ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
--     POSSIBILITY OF SUCH DAMAGE.
-- 

use work.IDROMConst.all;

package PIN_7i76x1_7i89x2D_x15ABOB_51 is
    constant ModuleID : ModuleIDType :=( 
        (HM2DPLLTag,    x"00",    ClockLowTag,    x"01",    HM2DPLLBaseRateAddr&PadT,    HM2DPLLNumRegs,        x"00",    HM2DPLLMPBitMask),
        (WatchDogTag,    x"00",    ClockLowTag,    x"01",    WatchDogTimeAddr&PadT,        WatchDogNumRegs,        x"00",    WatchDogMPBitMask),
        (IOPortTag,        x"00",    ClockLowTag,    x"03",    PortAddr&PadT,                    IOPortNumRegs,            x"00",    IOPortMPBitMask),
        (StepGenTag,    x"02",    ClockLowTag,    x"06",    StepGenRateAddr&PadT,        StepGenNumRegs,        x"00",    StepGenMPBitMask),
        (MuxedQcountTag,        MQCRev,    ClockLowTag,    x"0A",    MuxedQcounterAddr&PadT,        MuxedQCounterNumRegs,x"00",    MuxedQCounterMPBitMask),
        (MuxedQCountSelTag,    x"00",    ClockLowTag,    x"01",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (SSerialTag,    x"00",    ClockLowTag,    x"01",    SSerialCommandAddr&PadT,    SSerialNumRegs,        x"10",    SSerialMPBitMask),
        (LEDTag,            x"00",    ClockLowTag,    x"01",    LEDAddr&PadT,                    LEDNumRegs,                x"00",    LEDMPBitMask),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000"),
        (NullTag,                x"00",    NullTag,            x"00",    NullAddr&PadT,                    x"00",                    x"00",    x"00000000")
        );
            
    constant PinDesc : PinDescType :=(
    
--     Base func  sec unit sec func     sec pin                                   
        IOPortTag & x"00" & StepGenTag & StepGenDirPin,                    -- I/O 00    embedded 7I76
        IOPortTag & x"00" & StepGenTag & StepGenStepPin,                -- I/O 01    
        IOPortTag & x"01" & StepGenTag & StepGenDirPin,                    -- I/O 02    
        IOPortTag & x"01" & StepGenTag & StepGenStepPin,                -- I/O 03    
        IOPortTag & x"02" & StepGenTag & StepGenDirPin,                    -- I/O 04    
        IOPortTag & x"02" & StepGenTag & StepGenStepPin,                -- I/O 05    
        IOPortTag & x"03" & StepGenTag & StepGenDirPin,                    -- I/O 06    
        IOPortTag & x"03" & StepGenTag & StepGenStepPin,                -- I/O 07    
        IOPortTag & x"04" & StepGenTag & StepGenDirPin,                    -- I/O 08    
        IOPortTag & x"04" & StepGenTag & StepGenStepPin,                -- I/O 09    
        IOPortTag & x"00" & SSerialTag & SSerialTX0Pin,                 -- I/O 10    
        IOPortTag & x"00" & SSerialTag & SSerialRX0Pin,                 -- I/O 11    
        IOPortTag & x"00" & SSerialTag & SSerialTX1Pin,                 -- I/O 12    
        IOPortTag & x"00" & SSerialTag & SSerialRX1Pin,                 -- I/O 13    
        IOPortTag & x"04" & MuxedQCountTag & MuxedQCountIDXPin,        -- I/O 14    
        IOPortTag & x"04" & MuxedQCountTag & MuxedQCountQBPin,        -- I/O 15    
        IOPortTag & x"04" & MuxedQCountTag & MuxedQCountQAPin,        -- I/O 16    

                                                                            --        P1
                                                                            -- 5ABOB pinout                    
                                                                            -- 26 HDR    -- IDC DB25    
        IOPortTag & x"05" & StepGenTag & StepGenStepPin,    -- I/O 01    PIN 1        PIN 1     just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 02   PIN 2        PIN 14    Spindle Step
        IOPortTag & x"05" & StepGenTag & StepGenDirPin,        -- I/O 03   PIN 3        PIN 2       Spindle Direction
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 04    PIN 4        PIN 15    just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 05    PIN 5        PIN 3       just GPIO    
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 06    PIN 6        PIN 16    just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 07    PIN 7        PIN 4       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 08    PIN 8        PIN 17    just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 09    PIN 9        PIN 5       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 10    PIN 11    PIN 6       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 11    PIN 13    PIN 7       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 12    PIN 15    PIN 8       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 13    PIN 17    PIN 9       just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                    -- I/O 14    PIN 19    PIN 10    just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                  -- I/O 15    PIN 21    PIN 11      just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                  -- I/O 16    PIN 23    PIN 12    just GPIO
        IOPortTag & x"00" & NullTag & NullPin,                -- I/O 33    PIN 25    PIN 13    just GPIO
        
                                                                                        --        P2            HDR26        DB25
        IOPortTag & x"00" & MuxedQCountTag & MuxedQCountQAPin,        -- I/O 17    PIN 1    PIN 1    
        IOPortTag & x"00" & MuxedQCountTag & MuxedQCountQBPin,        -- I/O 18    PIN 14   PIN 2    
        IOPortTag & x"00" & MuxedQCountTag & MuxedQCountIDXPin,        -- I/O 19    PIN 2    PIN 3    
        IOPortTag & x"01" & MuxedQCountTag & MuxedQCountQAPin,        -- I/O 20    PIN 15   PIN 4    
        IOPortTag & x"01" & MuxedQCountTag & MuxedQCountQBPin,        -- I/O 21    PIN 3    PIN 5    
        IOPortTag & x"01" & MuxedQCountTag & MuxedQCountIDXPin,        -- I/O 22    PIN 16   PIN 6    
        IOPortTag & x"02" & MuxedQCountTag & MuxedQCountQAPin,        -- I/O 23    PIN 4    PIN 7    
        IOPortTag & x"02" & MuxedQCountTag & MuxedQCountQBPin,        -- I/O 24    PIN 17   PIN 8    
        IOPortTag & x"02" & MuxedQCountTag & MuxedQCountIDXPin,        -- I/O 25    PIN 5    PIN 9    
        IOPortTag & x"03" & MuxedQCountTag & MuxedQCountQAPin,        -- I/O 26    PIN 6    PIN 11
        IOPortTag & x"03" & MuxedQCountTag & MuxedQCountQBPin,        -- I/O 27    PIN 7    PIN 13
        IOPortTag & x"03" & MuxedQCountTag &  ,        -- I/O 28    PIN 8    PIN 15
        IOPortTag & x"00" & MuxedQCountSelTag & MuxedQCountSel0Pin,    -- I/O 29    PIN 9    PIN 17
        IOPortTag & x"00" & NullTag & NullPin,                                -- I/O 30    PIN 10   PIN 19 powop
        IOPortTag & x"00" & SSerialTag & SSerialRX2Pin,                 -- I/O 31    PIN 11   PIN 21
        IOPortTag & x"00" & SSerialTag & SSerialTX2Pin,                 -- I/O 32    PIN 12   PIN 23
        IOPortTag & x"00" & SSerialTag & SSerialTXEN2Pin,                 -- I/O 33    PIN 13   PIN 25
                                                                                                        
        LIOPortTag & x"00" & SSerialTag & SSerialNTXEn1Pin,  
        
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, 
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,

        emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, -- added for IDROM v3
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
        emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin);

end package PIN_7i76x1_7i89x2D_x15ABOB_51;

I am not sure if these updates got pushed into 2.8.3 or 2.8.4 and my github fu couldn't chase down the change set from back then to see if it is still in master or 2.9 or (again possible) pushed into 2.8.3 or .4.. 

Again sorry for resurrecting this, I am finally back in a place where I can bring this machine back to life.. 

 
27 May 2023 19:10

What are the connectors on the MESA 7i76e called?

Category: Driver Boards

Jep, those might fit. I wanted the side version though. Luckily, the original seller sent me enough connectors two days ago.
27 May 2023 18:58

Vacuum gauge for a vacuum plate - any recommendations?

Category: CNC Machines

I built myself some vacuum plates like this:

 

To run this thing, I am considering one of these sets:

 

The reason being:
- They are very cheap.
- I want to use the container as a vacuum buffer so that the pump doesn't have to run the whole time.

I am missing one piece of the puzzle though: A vacuum gauge that I can connect to my interface card (MESA 7i76e).

Any recommendations?
24 May 2023 18:10 - 24 May 2023 18:16
Replied by PCW on topic hostmot2 oneshot bitfile help

hostmot2 oneshot bitfile help

Category: Advanced Configuration

 Yes, that page is still applicable (I'd get 14.7)The source is a PINXXXX.vhd file that
is in the source subdirectory of the 7I76E distribution zip file.

Here is the one changed file (other than files changed to add this to the sources)
that was used to build the 7I76E RCPWM firmware:

 

File Attachment:

File Name: PIN_7I76x1...D_51.txt
File Size:10 KB
23 May 2023 13:11
Replied by PCW on topic hostmot2 oneshot bitfile help

hostmot2 oneshot bitfile help

Category: Advanced Configuration

Yes, a special bit file would be needed.

For example here is a 7I76E bitfile with 2 stepgens (0 and 1) and 6 RCPWMgens
(0 ..5) on the last three stepgen connectors of the 7I76E:

 

File Attachment:

File Name: 7i76e_7i76x1_6rcd.zip
File Size:98 KB
23 May 2023 11:32 - 23 May 2023 11:51

hostmot2 oneshot bitfile help

Category: Advanced Configuration

I do not have step/dir servo-drives or servo-motors.
I just have the mesa card 7i76e+7i85s (from a different project) and a bunch of standard RC-Servos, featuring 3 leads, beenig GND, 5V and PWM-Signal.
At the moment I just don't understand, how to bring out the pwm-signal and on which pins. is a special bit-file needed?
22 May 2023 08:57 - 22 May 2023 09:07

[SOLVED] Rotary axis homin on index not working

Category: General LinuxCNC Questions

So, i tried with changing the pid values in the ini but the offset is unaffected. The offset value increases proportionally with the homing latch velocity. What I did notice is that the encoder position does not seem to reset to zero:

 

ini-section:
Warning: Spoiler!


Hal-section
Warning: Spoiler!


Thanks for the help.
21 May 2023 22:16

hostmot2 oneshot bitfile help

Category: Advanced Configuration

hi all,
would I need a special bit-file to set up a 6-axis-robot like the vismach/puma560 using RC-servos (rcpwmgen) and the mesa 7i76e together with the 7i85s (9x stegens and 5x encoder inputs in total)?
How can I create such a bit-file by myself? What would be a good starting point?
If I don't need one, how can I connect the three leads from the servos?
Cheers.
Martin.
21 May 2023 15:49

Can the OPI5 be Configured to Run LCNC?

Category: Computers and OS's

Royka,
My OPi5+ hasn't shipped out yet either, I ordered from the Ali store instead of Amazon. The usual delivery time from China is about 2-3 weeks to me on the West Coast of Canada. That being said my NanoPC-T6 from FriendlyELEC is here already, it only took about 10 days to arrive via DHL.

The post you made about xunlong kernal changes is way over my head at this point. I bought both of these SBC boards in hopes someone smarter than myself would get one an I could just follow along, you are one of the people. Thank You.

I can always use the second board for one of my many other projects that doesn't need the real-time magic. I also have 2x brand new never opened MESA 7i76e cards I bought when there was supply chain shortages. I was planning on using but will most likely never even touch them now that I picked up some LEADSHINE EL8 EtherCat drives and ELM2 motors ($ not cheap $). Each drive has its own i/o pins for limits, estop, probe, glass slides, etc. and if the OPi5+ 40-pin GPIOs are accessible than I don't see a use for the mesa cards anymore. I guess maybe I should sell them.

I guess I will need a M.2 SSD as there is no eMMC like the NanoPC-T6 has, Also I assume a heatsink will be required, is there recommended one that will work with this board?
21 May 2023 15:26

[SOLVED] Rotary axis homin on index not working

Category: General LinuxCNC Questions

Thank you both.

The stepgen index
input is normally shared with encoder index inputs of the same channel
so you might have to re-arrange stepgens for this to work.

On my 7i76e i use  three stepgens using closed loop with index homing (stepper/glasscales), one stepgen with home switch and the one in question with a step/dir servo controller with index homing from the controller index feedback.  Would that work?

I set the linuxcnc PID to 1 and tune the servo controller. It works...

Hm, if I do that I always get an 'offset' in the DRO after homing. The absolute value in the DRO is not 0 but say 1.5. This value decreases with the homing latch velocity but i'm already crawling.

 
21 May 2023 14:16

[solved] Rehoming Lathespidle / Rotary-Axis from inside GCode

Category: Advanced Configuration

I've started to build a lathe spindle / rotary axis using a servo motor. The idea is to be able to combine milling operations (as a-axis) and lathe operations (as spindle) in the same GCode using a kinematic switch. The servo controller (Mitsubishi) offers a Position/Speed mode that allows me to switch between position mode using step/dir signals from the Mesa 7i76e and speed mode using 0-10V from the spindle output. This works using simple digital outputs connected in HAL.
The problem is this:
Running the motor in speed mode for lathe operations disables the encoder feedback from the servo controller and the angular position information for the rotary axis in linuxCNC is out of sync. So switching the servo controller back to position mode leaves me with a randomly positioned rotary axis that needs to be rehomed before continuing with a GCode milling operation. 

I have the index signal available for homing but how do I reset the absolute position of the rotary joint from inside Gcode?
21 May 2023 14:00

[SOLVED] Rotary axis homin on index not working

Category: General LinuxCNC Questions

I seems your encoder feedback is missing?
net a-pos-fb        <=  hm2_7i76e.0.encoder.03.position
21 May 2023 12:43

[SOLVED] Rotary axis homin on index not working

Category: General LinuxCNC Questions

I'm trying to get a rotary joint to home using an encoder index.
I would expect the motor to rotate (according to the 'HOME_LATCH_VEL' set in the ini and upon finding the index pulse stop and reset the absolute position to zero.
What I see is the motor starts turning finds the index and then returns to, what seems to me, the old absolute zero position.
This is on Version 2.9 from a recent bookworm install.

Any ideas?

 

Warning: Spoiler!
 

Warning: Spoiler!
Displaying 631 - 645 out of 647 results.
Time to create page: 1.804 seconds
Powered by Kunena Forum